Pouya Houshmand

Current research topic

Publications

Stream: A Modeling Framework for Fine-grained Layer Fusion on Multi-core DNN Accelerators Arne Symons, Linyan Mei, Steven Colleman, Pouya Houshmand, Sebastian Karl, and Marian Verhelst · Conference Proceeding · Jan 1. 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS; 2023; pp. 355 - 357
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W Weijie Jiang, Pouya Houshmand, Marian Verhelst, and wim Dehaene · Conference Proceeding · Jan 1. 2023 ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC); 2023; pp. 409 - 412
Towards the next generation Heterogeneous Multi-core Multi-accelerator Architectures for Machine Learning Vikram Jain, Giuseppe Sarda, Pouya Houshmand, and Marian Verhelst · Other · May 4. 2022
DIANA: An End-to-End Energy-Efficient Digital and ANAlog Hybrid Neural Network SoC Kodai Ueyoshi, Ioannis A Papistas, Pouya Houshmand, Giuseppe Maria Sarda, Vikram Jain, man Shi, Qilin Zheng, Sebastian Giraldo, Peter Vranckx, Jonas Doevenspeck, Debjyoti Bhattacharjee, Stefan Cosemans, Arindam Mallik, Peter Debacker, Diederik Verkest, and Marian Verhelst · Conference Proceeding · Mar 17. 2022 2022 IEEE International Conference on Solid-State Circuits (ISSCC); 2022; Vol. 65; pp.
Hardware-Efficient Residual Neural Network Execution in Line-Buffer Depth-First Processing Man Shi, Pouya Houshmand, Linyan Mei, and Marian Verhelst · Article · Dec 1. 2021 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2021; Vol. 11; iss. 4; pp. 690 - 700
ZigZag: Enlarging Joint Architecture-Mapping Design Space Exploration for DNN Accelerators Linyan Mei, Pouya Houshmand, Vikram Jain, Sebastian Giraldo, and Marian Verhelst · Article · Aug 1. 2021 IEEE Transactions On Computers; 2021; Vol. 70; iss. 8; pp. 1160 - 1174
Opportunities and Limitations of Emerging Analog in-Memory Compute DNN Architectures Pouya Houshmand, Stefan Cosemans, Linyan Mei, Ioannis Papistas, Debjyoti Bhattacharjee, Peter Debacker, Arindam Mallik, Diederik Verkest, and Marian Verhelst · Conference Proceeding · Jan 1. 2020 2020 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM); 2020; pp.