Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
STDP-Driven Development of Attention-Based People Detection in Spiking Neural Networks Ali Safa, Ilja Ocket, André Bourdoux, Francky Catthoor, and Georges Gielen · Journal Article · 2024 IEEE Transactions on Cognitive and Developmental Systems; 2024; Vol. 16; iss. 1; pp. 380 - 387
An Ultrasonic Driver Array in Metal-Oxide Thin-Film Technology Using a Hybrid TFT-Si DLL Locking Architecture Jonas Pelgrims, Kris Myny, and Wim Dehaene · Journal Article · 2024 IEEE Journal Of Solid-State Circuits; 2024; Vol. 59; iss. 2; pp. 516 - 527
CMOS Terahertz Systems: From Circuits to Applications Gabriel Teofilo Neves Guimaraes · Dissertation · 2024
Terahertz Receivers in CMOS for Imaging Applications Ariane De Vroede · Dissertation · 2024
Closing the terahertz gap with compact, low-cost imagers David Maes · Text Resource · 2024 Bits&Chips; 2024
A compact adderless feed-forward incremental < /i>ΔΣ< //i> with multiple global references for CMOS image sensors Nicolas Callens and Georges Gielen · Journal Article · 2024 Analog Integrated Circuits And Signal Processing; 2024; Vol. 118; iss. 1; pp. 1 - 13
A 1MHz 256kb Ultra Low Power Memory Macro for Biomedical Recording Applications in 22nm FD-SOI Using FECC to Enable Data Retention Down to 170mV Supply Voltage Bob Vanhoof and Wim Dehaene · Journal Article · 2024 IEEE Transactions On Circuits And Systems I-Regular Papers; 2024; Vol. 71; iss. 1; pp. 299 - 305
Brain Feature Extraction with an Artifact-Tolerant Multiplexed Time-Encoding Neural Frontend for True Real-Time Closed-Loop Neuromodulation Marco Carlino and Georges Gielen · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; pp.
A< /SUP>2< //SUP>P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, and Massoud Pedram · Journal Article · 2023 IEEE Transactions On Neural Networks And Learning Systems; 2023; Vol. 34; iss. 11; pp. 8284 - 8296
Microelectronics for Microbiology Peishuo Li · Dissertation · 2023
A 10 GHz Quadruple-Tail Comparator with Double Feedforward Paths and Minimal Delay Slope in 28 nm CMOS Zongyuan Li and Filip Tavernier · Conference Proceeding · 2023 ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC); 2023; pp. 205 - 208
The “Eagle” Approach To Train Electrical Engineers With Collaborative Problem-Solving Skills Fereshteh Poormohammadi, Merijn Van Deyck, Martijn Deckers, Abdul Saboor, Bowen Wang, Pouya Mehrjouseresht, Zhenda Zhang, Arne Symons, Pieter Pas, Alexander Bodard, Hans van Rooij, Marian Verhelst, Alexander Bertrand, Ruth Sabariego, Panagiotis Patrinos, and Peter Coppens · Conference Proceeding · 2023 51st Annual Conference of the European Society for Engineering Education (SEFI); 2023; pp.
A 10-Bit 400 MS/s Dual-Channel Time-Interleaved SAR ADC Based on Comparator Multiplexing Cheng Wang, Zhanpeng Yang, Xinpeng Xing, Quanzhen Duan, Xinfa Zheng, and Georges Gielen · Journal Article · 2023 Electronics; 2023; Vol. 12; iss. 19; pp.
Full-electric microfluidic platform to capture, analyze and selectively release single cells Ruben van den Eeckhoudt, An-Sofie Christiaens, Frederik Ceyssens, Vasileios Vangalis, Kevin J Verstrepen, Nico Boon, Filip Tavernier, Michael Kraft, and Irene Taurino · Journal Article · 2023 Lab On A Chip; 2023; Vol. 23; iss. 19; pp.
Multiplexed superconducting qubit control at millikelvin temperatures with a low-power cryo-CMOS multiplexer R Acharya, S Brebels, A Grill, J Verjauw, Ts Ivanov, D Perez Lozano, D Wan, J Van Damme, AM Vadiraj, M Mongillo, B Govoreanu, J Craninckx, IP Radu, K De Greve, G Gielen, F Catthoor, and A Potocnik · Journal Article · 2023 Nature Electronics; 2023; pp.
A Fully Integrated 135-GHz Direct-Digital 16-QAM Wireless and Dielectric Waveguide Link in 28-nm CMOS Carl D'heer and Patrick Reynaert · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; pp.
High-Throughput Nanopore-FET Array Readout IC With 5-MHz Bandwidth and Background Offset/Drift Calibration Aurojyoti Das, Qiuyang Lin, Sybren Santermans, Lijun Liu, Chris Van Hoof, Georges GE Gielen, and Nick Van Helleputte · Journal Article · 2023 IEEE Transactions On Circuits And Systems I-Regular Papers; 2023; pp.
Delay Cell for Highly-Linear Current-Controlled Oscillator-Based Analog-to-Digital Conversion Leidy Mabel Alvero-Gonzalez, Georges Gielen, and Eric Gutierrez · Journal Article · 2023 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2023; Vol. 70; iss. 9; pp. 3238 - 3242
E-TEST: a compact low-frequency isolator for a large cryogenic mirror A Sider, C Di Fronzo, L Amez-Droz, A Amorosi, F Badaracco, P Baer, A Bertolini, G Bruno, P Cebeci, C Collette, J Ebert, B Erben, R Esteves, E Ferreira, A Gatti, M Giesberts, T Hebbeker, J van Heijningen, J-S Hennig, M Hennig, S Hild, M Hoefer, H-D Hoffmann, L Jacques, R Jamshidi, R Joppe, T-J Kuhlbusch, MH Lakkis, C Lenaerts, J-P Locquet, J Loicq, B Long Le Van, P Loosen, M Nesladek, M Reiter, A Stahl, J Steinlechner, S Steinlechner, F Tavernier, M Teloi, J Vilaboa Perez, and M Zeoli · Journal Article · 2023 Classical And Quantum Gravity; 2023; Vol. 40; iss. 16; pp.
An Online-Spike-Sorting IC Using Unsupervised Geometry-Aware OSort Clustering for Efficient Embedded Neural-Signal Processing Yingping Chen, Bernardo Tacca, Yunzhu Chen, Dwaipayan Biswas, Georges Gielen, Francky Catthoor, Marian Verhelst, and Carolina Mora Lopez · Journal Article · 2023 IEEE Xplore; 2023; Vol. 58; iss. 11; pp.
Opportunities in optical and electrical single-cell technologies to study microbial ecosystems Fabian Mermans, Valerie Mattelin, Ruben van den Eeckhoudt, Cristina Garcia-Timermans, Josefien Van Landuyt, Yuting Guo, Irene Taurino, Filip Tavernier, Michael Kraft, Hira Khan, and Nico Boon · Journal Article · 2023 Frontiers In Microbiology; 2023; Vol. 14; pp.
Design Space Exploration of Deep Learning Accelerators Linyan Mei · Dissertation · 2023
An End-to-End Dual ASIC OFDM Transceiver for Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; Vol. 17; iss. 4; pp. 664 - 673
A Fully Integrated 230 VRMS-to-12 VDC AC-DC Converter Achieving 9 mW/mm2 Tuur Van Daele and Filip Tavernier · Conference Proceeding · 2023 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits); 2023; pp.
A Fingertip-Mimicking 12×16 200μm-Resolution e-skin Taxel Readout Chip with per-Taxel Spiking Readout and Embedded Receptive Field Processing Mark Daniel Alea, Ali Safa, Flavio Giacomozzi, Andrea Adami, Leandro Lorenzelli, Inci Temel, and Georges Gielen · Conference Proceeding · 2023 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits); 2023; pp.
A 100-Gb/s 3-m Dual-Band PAM-4 Dielectric Waveguide Link with 1.9 pJ/bit/m Efficiency in 28-nm CMOS Kristof Dens, Joren Vaes, christian bluemm, gabriel guimaraes, berke gungor, changsong xie, alexander dyck, and patrick Reynaert · Conference Proceeding · 2023 2023 IEEE Radio Frequency Integrated Circuits Symposium (RFIC); 2023; pp. 13 - 16
COAC: Cross-Layer Optimization of Accelerator Configurability for Efficient CNN Processing Steven Colleman, Man Shi, and Marian Verhelst · Journal Article · 2023 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2023; Vol. 31; iss. 7; pp. 945 - 958
The European Flagship Conferences ESSDERC and ESSCIRC Merge to Become ESSER Joachim N Burghartz, Michel Steyaert, and Cor Claeys · Journal Article · 2023 IEEE Circuits and Devices Magazine; 2023; Vol. 1; iss. 1; pp. 58 - 60
The payload of the Lunar Gravitational-wave Antenna JV van Heijningen, HJM ter Brake, O Gerberding, S Chalathadka Subrahmanya, J Harms, X Bian, A Gatti, M Zeoli, A Bertolini, C Collette, A Perali, N Pinto, M Sharma, F Tavernier, and J Rezvani · Journal Article · 2023 Journal Of Applied Physics; 2023; Vol. 133; iss. 24; pp.
Automated In-Situ Monitoring for Variability-Resilient and Energy-Efficient Digital Circuits Demonstrated on a Viterbi Decoder in 22-nm CMOS Clara Nieto Taladriz Moreno and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2023; Vol. 31; iss. 9; pp.
Modeling Analysis of BTI-driven degradation of a Ring Oscillator Designed in a 28-nm CMOS Technology D Sangani, Javier Diaz-Fortuny, E Bury, J Franco, B Kaczer, and Georges Gielen · Journal Article · 2023 IEEE Transactions On Device And Materials Reliability; 2023; Vol. 23; iss. 3; pp.
Dense, 11 V-tolerant, Balanced Stimulator IC with Digital Time-domain Calibration for < /100nA Error Maxime Feyerick and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; pp.
Improving the Accuracy of Spiking Neural Networks for Radar Gesture Recognition Through Preprocessing Ali Safa, Federico Corradi, Lars Keuninckx, Ilja Ocket, Andre Bourdoux, Francky Catthoor, and Georges GE Gielen · Journal Article · 2023 IEEE Transactions On Neural Networks And Learning Systems; 2023; Vol. 34; iss. 6; pp. 2869 - 2881
DepFiN: A 12-nm Depth-First, High-Resolution CNN Processor for IO-Efficient Inference Koen Goetschalckx, Fengfeng Wu, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 5; pp.
CNN-based Robust Sound Source Localization with SRP-PHAT for the Extreme Edge Jun Yin and Marian Verhelst · Journal Article · 2023 Acm Transactions On Embedded Computing Systems; 2023; Vol. 22; iss. 3; pp.
Robustness Analysis of 3-2 Adder Compressor Designed in 7-nm FinFET Technology Gerson Andrade, Matheus Silva, Cinthia Schneider, Guilherme Paim, Sergio Bampi, Eduardo Costa, and Alexandra Zimpeck · Journal Article · 2023 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2023; Vol. 70; iss. 3; pp. 1264 - 1268
Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology Brunno Alves de Abreu, Albi Mema, Simon Thomann, Guilherme Paim, Paulo Flores, Sergio Bampi, and Hussam Amrouch · Journal Article · 2023 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2023; Vol. 13; iss. 1; pp. 86 - 95
Fully Integrating a 400 V-to-12 V DC-DC Converter in High-Voltage CMOS Tuur Van Daele and Filip Tavernier · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 3; pp. 732 - 741
Toward a Framework of Integrating Ability: Conceptualization and Design of an Integrated Physics and Mathematics Test Haydée De Loof, Stijn Ceuppens, Jolien De Meester, Leen Goovaerts, Lieve Thibaut, Mieke De Cock, Wim Dehaene, Fien Depaepe, Heidi Knipprath, Jelle Boeve-de Pauw, and Peter Van Petegem · Journal Article · 2023 Education Sciences; 2023; Vol. 13; iss. 3; pp.
Boosting Latent Defect Coverage in Automotive Mixed-Signal ICs using SVM Classifiers nektar Xama, jhon alexander Gomez Caicedo, wim Dobbelaere, Ronny Vanhooren, Anthony Coyette, and Georges Gielen · Journal Article · 2023 IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems; 2023; Vol. 42; iss. 10; pp.
Ultra Low Power Adaptive Sensor Nodes Jaro De Roose · Dissertation · 2023
SISSTEM: Sustainable Development on the Small Island State of Aruba Anouk Mertens, Nadine Buys, Patrick Arens, Georges Gielen, and Eric Mijts · Book Chapter · 2023 The Bloomsbury Handbook of Sustainability in Higher Education An Agenda for Transformational Change; 2023; pp. 319 - 344
A 39-GHz High Image-Rejection Up-Conversion Mixer in 65-nm CMOS for 5G Communication Yiyang Wang, Haipeng Duan, Long He, Depeng Cheng, Xu Wu, Dongming Wang, Patrick Reynaert, and Lianming Li · Journal Article · 2023 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2023; Vol. 70; iss. 2; pp. 491 - 495
Radiation hard true single-phase-clock logic for high-speed circuits in 28 nm CMOS A Klekotko, M Baszczyk, S Biereigel, S Kulis, P Moreira, J Prinzie, and F Tavernier · Journal Article · 2023 Journal Of Instrumentation; 2023; Vol. 18; iss. 2; pp.
TinyVers: A Tiny Versatile System-on-Chip With State-Retentive eMRAM for ML Inference at the Extreme Edge Vikram Jain, Sebastian Giraldo, Jaro De Roose, Linyan Mei, Bert Boons, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 8; pp. 1 - 12
Multi-Gigahertz Nyquist Analog-to-Digital Converters Athanasios T Ramkaj, Marcellinus Pelgrom, Michel Steyaert, and Filip Tavernier · Book · 2023
A 96-channel 40nm CMOS Potentiostat for Parallel Experiments on Microbial Electrochemical Systems Peishuo Li, Tom Molderez, David Villamor, Antonin Prévoteau, and Marian Verhelst · Journal Article · 2023 IEEE Transactions On Circuits And Systems I-Regular Papers; 2023; Vol. 70; iss. 1; pp.
DIANA: An End-to-End Hybrid DIgital and ANAlog Neural Network SoC for the Edge Pouya Houshmand, Giuseppe M Sarda, Vikram Jain, Kodai Ueyoshi, Ioannis A Papistas, Man Shi, Qilin Zheng, Debjyoti Bhattacharjee, Arindam Mallik, Peter Debacker, Diederik Verkest, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 1; pp. 203 - 215
AxPPA: Approximate Parallel Prefix Adders Morgana Macedo Azevedo da Rosa, Guilherme Paim, Patricia Ucker Leleu da Costa, Eduardo Antonio Cesar da Costa, Rafael Soares, and Sergio Bampi · Journal Article · 2023 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2023; Vol. 31; iss. 1; pp. 17 - 28
ReAdapt: A Reconfigurable Datapath for Runtime Energy-Quality Scalable Adaptive Filters Pedro Taua Lopes Pereira, Guilherme Paim, Eduardo Antonio Cesar da Costa, Sergio Jose Melo de Almeida, and Sergio Bampi · Journal Article · 2023 IEEE Transactions On Circuits And Systems I-Regular Papers; 2023; Vol. 70; iss. 1; pp. 327 - 339
DeFiNES: Enabling Fast Exploration of the Depth-first Scheduling Space for DNN Accelerators through Analytical Modeling Linyan Mei, Koen Goetschalckx, Arne Symons, and Marian Verhelst · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA; 2023; pp. 570 - 583
Improving the Tamper-Aware Odometer Concept by Enhancing Dynamic Stress Operation Javier Diaz-Fortuny, Dishant Sangani, Pablo Saraza-Canflanca, Erik Bury, Robin Degraeve, and Ben Kaczer · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, IRPS; 2023; pp.
The Role of Mobility Degradation in the BTI-Induced RO Aging in a 28-nm Bulk CMOS Technology D Sangani, J Diaz-Fortuny, E Bury, B Kaczer, and G Gielen · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, IRPS; 2023; pp.
Effective and Efficient Testing of Large Numbers of Inter-Die Interconnects in Chiplet-Based Multi-Die Packages Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Cheng-Wen Wu, Georges Gielen, and Erik Jan Marinissen · Conference Proceeding · 2023 2023 IEEE 41ST VLSI TEST SYMPOSIUM, VTS; 2023; pp.
Stream: A Modeling Framework for Fine-grained Layer Fusion on Multi-core DNN Accelerators Arne Symons, Linyan Mei, Steven Colleman, Pouya Houshmand, Sebastian Karl, and Marian Verhelst · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS; 2023; pp. 355 - 357
Low-Loss Tunable-Phase Transmission Line Couplers - A Comparative Study Bharat Kalyan Thota and Patrick Reynaert · Conference Proceeding · 2023 2023 18TH CONFERENCE ON PH.D RESEARCH IN MICROELECTRONICS AND ELECTRONICS, PRIME; 2023; pp. 337 - 340
CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2023 2023 24TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, ISQED; 2023; pp. 172 - 179
A D-band 20.4 dBm OP1dB Transformer-Based Power Amplifier With 23.6% PAE In A 250-nm InP HBT Technology Senne Gielen, Yang Zhang, Mark Ingels, and Patrick Reynaert · Conference Proceeding · 2023 2023 IEEE Radio Frequency Integrated Circuits Symposium (RFIC); 2023; pp. 309 - 312
Genetic Algorithm-based Framework for Layer-Fused Scheduling of Multiple DNNs on Multi-core Systems Sebastian Karl, Arne Symons, Nael Fasfous, and Marian Verhelst · Conference Proceeding · 2023 2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE; 2023; pp.
High-coverage analog IP block test generation methodology using low-cost signal generation and output response analysis Jhon Gomez, Nektar Xama, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, and Georges Gielen · Conference Proceeding · 2023 2023 IEEE EUROPEAN TEST SYMPOSIUM, ETS; 2023; pp.
End-to-End Optimization of High-Density e-Skin Design: From Spiking Taxel Readout to Texture Classification Jiaqi Wang, Mark Daniel Alea, jonah Van Assche, and georges Gielen · Conference Proceeding · 2023 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE); 2023; pp.
Study of Transistor Metrics for Room-Temperature Screening of Single Electron Transistors for Silicon Spin Qubit Applications Francesco Lorenzelli, Asser Elsayed, Clement Godfrin, Alexander Grill, Stefan Kubicek, Ruoyu Li, Michele Stucchi, Danny Wan, Kristiaan De Greve, Erik Jan Marinissen, and Georges Gielen · Conference Proceeding · 2023 2023 IEEE EUROPEAN TEST SYMPOSIUM, ETS; 2023; pp.
PetaOps/W edge-AI μProcessors: Myth or reality? Manil Dev Gomony, Floran de Putter, Anteneh Gebregiorgis, Gianna Paulin, Linyan Mei, Vikram Jain, Said Hamdioui, Victor Sanchez, Tobias Grosser, Marc Geilen, Marian Verhelst, Friedemann Zenke, Frank Gurkaynak, Barry de Bruin, Sander Stuijk, Simon Davidson, Sayandip De, Mounir Ghogho, Alexandra Jimborean, Sherif Eissa, Luca Benini, Dimitrios Soudris, Rajendra Bishnoi, Sam Ainsworth, Federico Corradi, Ouassim Karrakchou, Tim Gueneysu, and Henk Corporaal · Conference Proceeding · 2023 2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE; 2023; pp.
Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Slimane Boutobza, Cheng-Wen Wu, Georges Gielen, and Erik Jan Marinissen · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE, 3DIC; 2023; pp.
Neuromorphic computing in the edge: merging cyber and physical Georges Gielen · Conference Proceeding · 2023 2023 9TH INTERNATIONAL WORKSHOP ON ADVANCES IN SENSORS AND INTERFACES, IWASI; 2023; pp. 286 - 286
An Active-Pixel Readout Circuit Technique towards all LTPS-TFT-on-foil Large-Area Imagers with Inherent Nonlinearity Compensation Mohit Dandekar, Kris Myny, and Wim Dehaene · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, ISCAS; 2023; pp.
A 600-GHz Harmonic Injection-Locked Receiver Array Using Interleaved Modulation in 28-nm CMOS Ariane De Vroede and Patrick Reynaert · Journal Article · 2023 IEEE Solid-State Circuits Letters; 2023; Vol. 6; pp. 197 - 200
AIMC Modeling and Parameter Tuning for Layer-Wise Optimal Operating Point in DNN Inference Iman Dadras, Giuseppe M Sarda, Nathan Laubeuf, Debjyoti Bhattacharjee, and Arindam Mallik · Journal Article · 2023 IEEE Access; 2023; Vol. 11; pp. 87189 - 87199
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W Weijie Jiang, Pouya Houshmand, Marian Verhelst, and wim Dehaene · Conference Proceeding · 2023 ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC); 2023; pp. 409 - 412
Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference Matteo Risso, Alessio Burrello, Giuseppe Maria Sarda, Luca Benini, Enrico Macii, Massimo Poncino, Marian Verhelst, and Daniele Jahier Pagliari · Conference Proceeding · 2023 2023 IEEE/ACM INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, ISLPED; 2023; pp.
HTVM: Efficient Neural Network Deployment On Heterogeneous TinyML Platforms Josse Van Delm, Maarten Vandersteegenl, Alessio Burrello, Giuseppe Maria Sarda, Francesco Conti, Daniele Jahier Pagliari, Luca Benini, and Marian Verhelst · Conference Proceeding · 2023 2023 60TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC; 2023; pp.
PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge Vikram Jain, Matheus Cavalcante, Nazareno Bruschi, Michael Rogenmoser, Thomas Benz, Andreas Kurth, Davide Rossi, Luca Benini, and Marian Verhelst · Conference Proceeding · 2023 2023 60TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC; 2023; pp.
A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for DNN Inference supporting flexible bit precision and matrix size achieving 612 binary TOPS/W Mohit Gupta, Stefan Cosemans, Peter Debacker, and Wim Dehaene · Conference Proceeding · 2023 IEEE 49TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE, ESSCIRC 2023; 2023; pp. 417 - 420
A Compact 0.98 THz Source With On-Chip Antenna In 250-nm InP DHBT Senne Gielen, Yang Zhang, Mark Ingels, and Patrick Reynaert · Conference Proceeding · 2023 2023 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS); 2023; pp. 86 - 89
Exploring Information-Theoretic Criteria to Accelerate the Tuning of Neuromorphic Level-Crossing ADCs Ali Safa, Jonah Van Assche, Charlotte Frenkel, Andre Bourdoux, Francky Catthoor, and Georges Gielen · Conference Proceeding · 2023 PROCEEDINGS OF THE 2023 ANNUAL NEURO-INSPIRED COMPUTATIONAL ELEMENTS CONFERENCE, NICE 2023; 2023; pp. 63 - 70
SupportHDC: Hyperdimensional Computing with Scalable Hypervector Sparsity Ali Safa, Ilja Ocket, Francky Catthoor, and Georges Gielen · Conference Proceeding · 2023 PROCEEDINGS OF THE 2023 ANNUAL NEURO-INSPIRED COMPUTATIONAL ELEMENTS CONFERENCE, NICE 2023; 2023; pp. 20 - 25
A Wide-Range ISFET Readout Circuit with Low-Power Linearity Enhancement Kaichang Chen, Prateek Tripathi, Nicolas Moser, and Pantelis Georgiou · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, ISCAS; 2023; pp.
Transformer-Based mm-Wave Low-Loss Active Isolator in 22nm FD-SOI Bharat Kalyan Thota and Patrick Reynaert · Conference Proceeding · 2023 2023 18TH EUROPEAN MICROWAVE INTEGRATED CIRCUITS CONFERENCE, EUMIC; 2023; pp. 237 - 240
Boosting Wide Range Conversion Efficiency with Dynamic Voltage Domain Stacking Tim Thielemans and Filip Tavernier · Journal Article · 2022 IEEE Transactions On Power Electronics; 2022; Vol. 37; iss. 12; pp.
GRAPHOPT: constrained-optimization-based parallelization of irregular graphs Nimish Shirishbhai Shah, Wannes Meert, and Marian Verhelst · Journal Article · 2022 IEEE Transactions On Parallel And Distributed Systems; 2022; Vol. 33; iss. 12; pp. 3321 - 3332
Hardware-Aware Mobile Building Block Evaluation for Computer Vision Maxim Bonnaerens, Matthias Freiberger, Marian Verhelst, and Joni Dambre · Journal Article · 2022 Applied Sciences-Basel; 2022; Vol. 12; iss. 24; pp.
THz Electronics in CMOS Technologies Dragan Simić · Dissertation · 2022
An 11 V-tolerant, high-density neurostimulator using time-domain calibration in 65 nm CMOS Maxime Feyerick and Wim Dehaene · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings; 2022; pp. 429 - 433
Power-Efficient and Accurate Texture Sensing Using Spiking Readouts for High-Density e-Skins Mark Daniel Alea, Ali Safa, Jonah Van Assche, and Georges Gielen · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS); 2022; pp. 359 - 363