Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
A Study on Coarse Stage Bit Allocation to Improve Power Efficiency of a 10-bit Coarse-Fine SAR ADC Implemented in 65nm CMOS Process for Environmental Sensing Applications UR Agub, J Zebedee Aquino, J Beano, R Monsayac, A Alvarez, MT De Leon, C Vincent Densing, JR Hizon, R Jossel Maestro, and M Rosales · Conference Proceeding · 2019 IEEE Region 10 Annual International Conference, Proceedings/TENCON; 2019; Vol. 2018-October; pp. 1352 - 1356
Low Power Converter for Capacitive Sensors Using Capacitance-to-Pulse Width Modulation AV Balde, J Owen Cabuyadao, CV Densing, J Richard Hizon, M Rosales, RJ Maestro, and M Theresa De Leon · Conference Proceeding · 2019 IEEE Region 10 Annual International Conference, Proceedings/TENCON; 2019; Vol. 2018-October; pp. 570 - 573
An Ultra-Low Power Direct Active-RF Detection Wake-Up Receiver with Noise-Cancelling Envelope Detector in 65 nm CMOS Process GI Evidente, S Lorenzo Mindoro, A Alvarez, CV Densing, R Jossel Maestro, M Rosales, and MT De Leon · Conference Proceeding · 2019 IEEE Region 10 Annual International Conference, Proceedings/TENCON; 2019; Vol. 2018-October; pp. 12 - 15
A g< /inf>m< //inf>/I< /inf>D< //inf> Based Algorithm for the Design of CMOS Miller Operational Amplifiers in 65 nm Technology ZR Sanchez, S Jason Vasquez, AB Alvarez, CJ Vincent Densing, JRE Hizon, RM Jossel Maestro, MTG De Leon, and MD Rosales · Conference Proceeding · 2019 IEEE Region 10 Annual International Conference, Proceedings/TENCON; 2019; Vol. 2018-October; pp. 1870 - 1875
A 5GS/s 7.2 ENOB Time-Interleaved VCO-Based ADC Achieving 30.5fJ/conv-step Maarten Baert and Wim Dehaene · Conference Proceeding · 2019 2019 IEEE International Solid-State Circuits Conference Digest of Technical Papers; 2019; Vol. 62; pp. 328 - 330
A 978GOPS/W Flexible Streaming Processor for Real-Time Image Processing Applications in 22nm FDSOI Sander Smets, Toon Goedemé, Anurag Mittal, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE International Solid-State Circuits Conference Digest of Technical Papers; 2019; Vol. 62; pp. 44 - 46
Development and Assessment of iSTEM Competencies L Goovaerts · Dissertation · 2019
Enabling Ultrasound In-Body Communication: FIR Channel Models and QAM Experiments Thomas Bos, Wentao Jiang, Jan D'hooge, Marian Verhelst, and Wim Dehaene · Journal Article · 2019 IEEE Transactions On Biomedical Circuits And Systems; 2019; Vol. 13; iss. 1; pp. 135 - 144
A 0.53-THz Subharmonic Injection-Locked Phased Array With 63-mu W Radiated Power in 40-nm CMOS Kaizhe Guo, Yang Zhang, and Patrick Reynaert · Journal Article · 2019 IEEE Journal Of Solid-State Circuits; 2019; Vol. 54; iss. 2; pp. 380 - 391
High-throughput and power-efficient hardware design for a multiple video coding standard sample interpolator Wagner Penny, Jones Goebel, Guilherme Paim, Marcelo Porto, Luciano Agostini, and Bruno Zatt · Journal Article · 2019 Journal Of Real-Time Image Processing; 2019; Vol. 16; iss. 1; pp. 175 - 192
Power-, Area-, and Compression-Efficient Eight-Point Approximate 2-D Discrete Tchebichef Transform Hardware Design Combining Truncation Pruning and Efficient Transposition Buffers Guilherme Paim, Leandro Mateus Giacomini Rocha, Gustavo Madeira Santana, Leonardo Bandeira Soares, Eduardo Antonio Cesar da Costa, and Sergio Bampi · Journal Article · 2019 IEEE Transactions On Circuits And Systems I-Regular Papers; 2019; Vol. 66; iss. 2; pp. 680 - 693
Designing iSTEM Learning Materials for Secondary Education J De Meester · Dissertation · 2019
A Broadband 13 Vpp 40% PAE Stacked Line Driver in 28 nm Bulk CMOS Jan Cools, Thibaut Gurné, and Patrick Reynaert · Conference Proceeding · 2019 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS); 2019; Vol. 25; pp. 445 - 448
9th grade students’ understanding and strategies when solving x(t) problems in 1D kinematics and y(x) problems in mathematics Stijn Ceuppens, Laurens Bollen, Johan Deprez, Wim Dehaene, and Mieke De Cock · Journal Article · 2019 Physical Review Physics Education Research; 2019; Vol. 15; iss. 1; pp. 1 - 22
Real-time HR Estimation from wrist PPG using Binary LSTMs Leandro Giacomini Rocha, Muqing Liu, Dwaipayan Biswas, Bram-Ernst Verhoef, Sergio Bampi, Chris H Kim, Chris Van Hoof, Mario Konijnenburg, Marian Verhelst, and Nick Van Helleputte · Conference Proceeding · 2019 2019 IEEE BIOMEDICAL CIRCUITS AND SYSTEMS CONFERENCE (BIOCAS 2019); 2019; pp.
A 13.5-Gb/s 5-mV-Sensitivity 26.8-ps-CLK–OUT Delay Triple-Latch Feedforward Dynamic Comparator in 28-nm CMOS Athanasios Ramkaj, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 IEEE Solid State Circuits Letters; 2019; Vol. 2; iss. 9; pp. 167 - 170
Sub-Word Parallel Precision-Scalable MAC Engines for Efficient Embedded DNN Inference Linyan Mei, Mohit Dandekar, Dimitrios Rodopoulos, Jeremy Constantin, Peter Debacker, Rudy Lauwereins, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2019; pp. 6 - 10
Architectural Analysis of a Novel Closed-Loop VCO-Based 1-1 Sturdy MASH Sensor-to-Digital Converter Elisa Sacco, Johan Vergauwen, and Georges Gielen · Conference Proceeding · 2019 2019 16TH INTERNATIONAL CONFERENCE ON SYNTHESIS, MODELING, ANALYSIS AND SIMULATION METHODS AND APPLICATIONS TO CIRCUIT DESIGN (SMACD 2019); 2019; pp. 261 - 264
A Wearable Wrist-Band with Compressive Sensing based Ultra-Low Power Photoplethysmography Readout Circuit Parvez Ahmmed, James Dieffenderfer, Jose Manuel Valero-Sarmiento, Venkata Rajesh Pamula, Nick Van Helleputte, Chris Van Hoof, Marian Verhelst, and Alper Bozkurt · Conference Proceeding · 2019 2019 IEEE 16TH INTERNATIONAL CONFERENCE ON WEARABLE AND IMPLANTABLE BODY SENSOR NETWORKS (BSN); 2019; pp.
ProbLP: A framework for low-precision probabilistic inference Nimish Shah, Laura I Galindez Olascoaga, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2019 Proceedings of the 56th Annual Design Automation Conference 2019; 2019; pp. 190:1 - 6
From Open-Loop to Closed-Loop Single-VCO-Based Sensor-to-Digital Converter Architectures: theoretical analysis and comparison Elisa Sacco, Johan Vergauwen, and Georges Gielen · Conference Proceeding · 2019 2019 IEEE 8TH INTERNATIONAL WORKSHOP ON ADVANCES IN SENSORS AND INTERFACES (IWASI); 2019; pp. 29 - 34
Modal Characteristics of Plasmonic Transmission Lines in Multi-Layered Media using the Method of Moments Mai O Sallam, Guy AE Vandenbosch, Georges Gielen, and Ezzeldin A Soliman · Conference Proceeding · 2019 2019 13TH EUROPEAN CONFERENCE ON ANTENNAS AND PROPAGATION (EUCAP); 2019; pp.
Low voltage transient RESET kinetic modeling of OxRRAM for neuromorphic applications J Doevenspeck, R Degraeve, A Fantini, P Debacker, D Verkest, R Lauwereins, and W Dehaene · Conference Proceeding · 2019 2019 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS); 2019; Vol. 2019-March; pp.
A 64-channel, 1.1-pA-accurate On-chip Potentiostat for Parallel Electrochemical Monitoring Peishuo Li, Tom Molderez, Frederik Ceyssens, Korneel Rabaey, and Marian Verhelst · Conference Proceeding · 2019 ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC); 2019; pp. 317 - 320
Exploration and Design of Low-Energy Logic Cells for 1 kHz Always-on Systems Maxime Feyerick, Jaro De Roose, and Marian Verhelst · Conference Proceeding · 2019 Proceedings of the 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE; 2019; pp. 1697 - 1702
Introduction to the Special Issue on the 2018 International Solid-State Circuits Conference (ISSCC) Yohan Frans, Wim Dehaene, Masato Motomura, and Seung-Jun Bae · Journal Article · 2019 IEEE Journal Of Solid-State Circuits; 2019; Vol. 54; iss. 1; pp. 3 - 5
An Always-On 3.8 mu J/86% CIFAR-10 Mixed-Signal Binary CNN Processor With All Memory on Chip in 28-nm CMOS Daniel Bankman, Lita Yang, Bert Moons, Marian Verhelst, and Boris Murmann · Journal Article · 2019 IEEE Journal Of Solid-State Circuits; 2019; Vol. 54; iss. 1; pp. 158 - 172
Polymer Microwave Fiber: a New Communication Concept That Blends Wireless, Wireline and Optical Communication Patrick Reynaert, Joren Vaes, Kristof Dens, Carl D'heer, Dragan Simic, Bart Philippe, and Simon Ooms · Conference Proceeding · 2019 Proceedings of IEEE International Conference on Electronics, Circuits and Systems (ICECS); 2019; pp. 755 - 758
An E-Band Compact Power Amplifier for Future Array-Based Backhaul Networks in 22nm FD-SOI Umut Çelik and Patrick Reynaert · Conference Proceeding · 2019 IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers; 2019; pp. 187 - 190
A 196μW, Reconfigurable Light-to-Digital Converter with 119dB Dynamic Range, for Wearable PPG/NIRS Sensors Qiuyang Lin, Jiawei Xu, Shuang Song, Arjan Breeschoten, Mario Konijnenburg, Mingyi Chen, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Conference Proceeding · 2019 IEEE Symposium on VLSI Circuits, Digest of Technical Papers; 2019; pp. 58 - 58
A Compact Ka-Band Transformer-Coupled Power Amplifier for 5G in 0.15um GaAs Valdrin Qunaj and Patrick Reynaert · Conference Proceeding · 2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS); 2019; pp.
Optical Receiver with Schottky Photodiode and TIA with High Gain Amplifier in 28nm Bulk CMOS Wouter Diels, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC); 2019; pp.
Advanced Multiphasing: Pushing the Envelope of Fully Integrated Power Conversion Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2019 2019 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC); 2019; pp.
A high-bandwidth fine-pitch 2.57Tbps/mm in-package communication link achieving 48fJ/bit/mm efficiency N Pantano, G Van der Plas, P Bex, P Nolmans, D Velenis, M Verhelst, and E Beyne · Conference Proceeding · 2019 2019 IEEE 69TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC); 2019; pp. 674 - 681
Ultrasound In-Body Communication with OFDM through Multipath Realistic Channels Thomas Bos, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE BIOMEDICAL CIRCUITS AND SYSTEMS CONFERENCE (BIOCAS 2019); 2019; pp.
18μW SoC for near-microphone Keyword Spotting and Speaker Verification Juan Sebastian Piedrahita Giraldo, Steven Lauwereins, Komail Badami, Hugo Van hamme, and Marian Verhelst · Conference Proceeding · 2019 2019 Symposium on VLSI Circuits; 2019; pp.
The fantastic voyage towards ultra-miniaturized sensing circuits Georges Gielen · Conference Proceeding · 2019 2019 IEEE 8TH INTERNATIONAL WORKSHOP ON ADVANCES IN SENSORS AND INTERFACES (IWASI); 2019; pp. 35 - 35
A 16.1-b ENOB 0.064mm(2) Compact Highly-Digital Closed-Loop Single-VCO-based 1-1 SMASH Resistance-to-Digital Converter in 180nm CMOS Elisa Sacco, Johan Vergauwen, and Georges Gielen · Conference Proceeding · 2019 2019 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC); 2019; pp. 109 - 112
A 307-fps 351.7-GOPs/W Deep Learning FPGA Accelerator for Real-time Scene Text Recognition Shirui Zhao, Fengwei An, and Hao Yu · Conference Proceeding · 2019 2019 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (ICFPT 2019); 2019; pp. 263 - 266
A 130-nm CMOS Dual Input-Polarity DC-DC Converter for Low-Power Applications Alberto Gatti, Giorgio Spiazzi, Andrea Gerosa, Andrea Neviani, and Andrea Bevilacqua · Conference Proceeding · 2019 IEEE 45TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC 2019); 2019; pp. 211 - +
A 94 GHz Voltage-Boosted Energy Harvester in 45 nm CMOS Achieving a Peak Efficiency of 21.2% at -8.5 dBm Input Power Ariane De Vroede, Simon Ooms, Bart Philippe, and Patrick Reynaert · Conference Proceeding · 2019 IEEE EUROCON 2019 -18th International Conference on Smart Technologies; 2019; pp.
A Packaged Fully Digital 390GHz Harmonic Outphasing Transmitter in 28nm CMOS Alexander Standaert and Patrick Reynaert · Conference Proceeding · 2019 2019 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC); 2019; pp. 149 - 152
An E-band Fully-Integrated True Power Detector in 28nm CMOS Valdrin Qunaj and Patrick Reynaert · Conference Proceeding · 2019 IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers; 2019; pp. 191 - 194
CMOS Power Amplifier Design for 5G Mobile Applications Yang Zhang and Patrick Reynaert · Book Chapter · 2019 MILLIMETER-WAVE CIRCUITS FOR 5G AND RADAR; 2019; pp. 369 - 399
Dual-gate self-aligned a-InGaZnO transistor model for flexible circuit applications Florian De Roose, Hikmet Celiker, Jan Genoe, Wim Dehaene, and Kris Myny · Conference Proceeding · 2019 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE); 2019; pp. 25 - 29
Memory Solutions for Flexible Thin-Film Logic: up to 8kb, > 105.9kb/s LPROM and SRAM with Integrated Timing Generation Meeting the ISO NFC Standard Florian De Roose, Jan Genoe, Auke J Kronemeijer, Kris Myny, and Wim Dehaene · Conference Proceeding · 2019 2019 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC); 2019; Vol. 62; pp. 206 - +
A 196 mu W, Reconfigurable Light-to-Digital Converter with 119dB Dynamic Range, for Wearable PPG/NIRS Sensors Qiuyang Lin, Jiawei Xu, Shuang Song, Arjan Breeschoten, Mario Konijnenburg, Mingyi Chen, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Conference Proceeding · 2019 2019 SYMPOSIUM ON VLSI CIRCUITS; 2019; pp. C58 - C59
A Fully-Integrated 6:1 Cascaded Switched-Capacitor DC-DC Converter Achieving 74% Efficiency at 0.1W/mm² Tuur Van Daele, Elly De Pelecijn, Tim Thielemans, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 2019 15th Conference on Ph.D Research in Microelectronics and Electronics (PRIME); 2019; pp.
A 5GS/s 158.6mW 12b Passive-Sampling 8×-Interleaved Hybrid ADC with 9.4 ENOB and 160.5dB FoMS in 28nm CMOS Athanasios Ramkaj, Juan Carlos Pena Ramos, Yifan Lyu, Maarten Strackx, Marcel Pelgrom, Michiel Steyaert, Marian Verhelst, and Filip Tavernier · Conference Proceeding · 2019 ISSCC; 2019; Vol. 62; pp. 62 - 64
On Hardware-Aware Probabilistic Frameworks for Resource Constrained Embedded Applications Laura I Galindez Olascoaga, Wannes Meert, Nimish Shah, Guy Van den Broeck, and Marian Verhelst · Conference Proceeding · 2019 FIFTH WORKSHOP ON ENERGY EFFICIENT MACHINE LEARNING AND COGNITIVE COMPUTING - NEURIPS EDITION (EMC2-NIPS 2019); 2019; pp. 66 - 70
Analysis of substrate mounted dielectric lenses for silicon-based THz sources Gabriel Guimaraes and Patrick Reynaert · Conference Proceeding · 2019 2019 12TH GLOBAL SYMPOSIUM ON MILLIMETER WAVES (GSMM 2019); 2019; pp. 78 - 80
Review of Methodologies for Pre- and Post-Silicon Analog Verification in Mixed-Signal SOCs Georges Gielen, Nektar Xama, Karthik Ganesan, and Subhasish Mitra · Conference Proceeding · 2019 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE); 2019; pp. 1006 - 1009
Survey of Precision-Scalable Multiply-Accumulate Units for Neural-Network Processing Vincent Camus, Christian Enz, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS 2019); 2019; pp. 57 - 61
Compact Transformer-Based Matching Structures for Ka-Band Power Amplifiers Valdrin Qunaj and Patrick Reynaert · Conference Proceeding · 2019 IEEE Asia-Pacific Microwave Conference (APMC); 2019; pp.
Maximizing the Power-Efficiency of the Approximate Pruned Modified Rounded DCT Exploiting Approximate Adder Compressors Guilherme Paim, Leandro MG Rocha, Eduardo AC da Costa, and Sergio Bampi · Conference Proceeding · 2019 2019 17TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS); 2019; pp.
HEVC Interpolation Filter Architecture Using Hybrid Encoding Arithmetic Operators Rafael S Ferreira, Guilherme Paim, Brunno A Abreu, Claudio M Diniz, Eduardo Costa, and Sergio Bampi · Conference Proceeding · 2019 2019 IEEE 62ND INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS); 2019; pp. 331 - 334
Exploring Architectural Solutions for an Energy-Efficient Kalman Filter Gain Realization Pedro TL Pereira, Guilherme Paim, Patricia Ucker, Eduardo Costa, Sergio Almeida, and Sergio Bampi · Conference Proceeding · 2019 2019 26TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS); 2019; pp. 650 - 653
Exploring Motion Vector Cost with Partial Distortion Elimination in Sum of Absolute Differences for HEVC Integer Motion Estimation Brunno Abreu, Mateus Grellert, Guilherme Paim, Thomas Fontanari, Leandro MG Rocha, Eduardo AC da Costa, and Sergio Bampi · Conference Proceeding · 2019 2019 17TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS); 2019; pp.
Maximizing Side Channel Attack-Resistance and Energy-Efficiency of the STTL Combining Multi-V-t Transistors with Current and Capacitance Balancing Vitor G Lima, Guilherme Paim, Leandro MG Rocha, Leomar da Rosa, Felipe Marques, Eduardo AC da Costa, Vinicius Camargo, Rafael Soares, and Sergio Bampi · Conference Proceeding · 2019 2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS); 2019; pp.
A 12T Low-Power Standard-Cell Based SRAM Circuit for Ultra-Low-Voltage Operations Jiacong Sun and Hailong Jiao · Conference Proceeding · 2019 17TH IEEE INTERNATIONAL CONFERENCE ON IC DESIGN AND TECHNOLOGY (ICICDT 2019); 2019; pp.
An 11GHz Dual-Sided Self-Calibrating Dynamic Comparator in 28nm CMOS Athanasios Ramkaj, Maarten Strackx, Michiel Steyaert, and Filip Tavernier · Journal Article · 2018 MDPI, Journal Electronics; 2018; Vol. 8; iss. 1; pp. 1 - 13
Dynamic Sensor-Frontend Tuning for Resource Efficient Embedded Classification Laura Isabel Galindez Olascoaga, K Badami, J Vlasselaer, W Meert, and M Verhelst · Journal Article · 2018 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2018; Vol. 8; iss. 4; pp. 858 - 872
Actually Mimicking of Neuron Action Potential by A Single RRAM Device B Wang, Z Wang, Y Fang, Q Chen, L Bao, Y Yang, Y Cai, and R Huang · Conference Proceeding · 2018 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT); 2018; pp. 43 - 45
Optimized Hierarchical Cascaded Processing Koen Goetschalckx, Bert Moons, Steven Lauwereins, Martin Andraud, and Marian Verhelst · Journal Article · 2018 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2018; Vol. 8; iss. 4; pp. 884 - 894
Architecture optimization for energy-efficient resolution-scalable 8-12-bit SAR ADCs Thomas Bos, Komail Badami, Wim Dehaene, and Marian Verhelst · Journal Article · 2018 Analog Integrated Circuits And Signal Processing; 2018; Vol. 97; iss. 3; pp. 437 - 448
Exploring approximations in 4-and 8-point DTT hardware architectures for low-power image compression Guilherme Paim, Gustavo Madeira Santana, Leandro Mateus Giacomini Rocha, Leonardo Bandeira Soares, Eduardo Antonio Cesar da Costa, and Sergio Bampi · Journal Article · 2018 Analog Integrated Circuits And Signal Processing; 2018; Vol. 97; iss. 3; pp. 503 - 514
A 112 μW F-band Standing Wave Detector in 40nm CMOS for Sensing and Impedance Detection Bart Philippe and Patrick Reynaert · Conference Proceeding · 2018 EuMIC 2018 - 2018 13th European Microwave Integrated Circuits Conference; 2018; pp. 21 - 24
A Verification Platform to provide the Functional, Characterization and Production testing for the VFAT3 ASIC P Aspell, C Bravo, MM Dabrowski, G De Lentdecker, P Leroux, G De Robertis, A Irshad, T Lenzi, F Licciulli, F Loddo, H Petrow, F Robert, J Rosa, F Tavernier, and T Tuuva · Conference Proceeding · 2018 2017 IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC); 2018; pp.
Low-noise and low-power binary front-end in 130nm CMOS for triple-GEM detectors supporting wide range of detector capacitances with gain and peaking time programmability MM Dabrowski, P Aspell, G De Lendecker, G De Robertis, A Irishad, F Licciulli, F Loddo, H Petrow, R Jason, T Tuva, F Tavernier, and P Leroux · Conference Proceeding · 2018 Proceedings of the 24th Nuclear Science Symposium; 2018; pp.
Towards Efficient BLE Mesh: Design of an Autonomous Network Joining Algorithm Clara Nieto Taladriz Moreno, Yuri Murillo Mange, and Sofie Pollin · Conference Proceeding · 2018 2018 IEEE Symposium on Communications and Vehicular Technology (SCVT'18); 2018; Vol. abs/1907.08419; pp.
Zachte lading maakt spanningsomzetters driemaal efficiënter David Maes and Nicolas Butzen · Text Resource · 2018 Bits&Chips; 2018; iss. 7; pp. 18 - 19
Schottky Photodiodes in Bulk CMOS for High-Speed 1310/1550 nm Optical Receivers Wouter Diels, Michiel Steyaert, and Filip Tavernier · Journal Article · 2018 IEEE Journal Of Selected Topics In Quantum Electronics; 2018; Vol. 24; iss. 6; pp.
A Fully Configurable Non-Linear Mixed-Signal Interface for Multi-Sensor Analytics Juan-Carlos Pena-Ramos, Komail Badami, Steven Lauwereins, and Marian Verhelst · Journal Article · 2018 IEEE Journal Of Solid-State Circuits; 2018; Vol. 53; iss. 11; pp. 3140 - 3149
Digital Enhancement of SAR ADCs J Peña Ramos · Dissertation · 2018
A 0.6V 54DB SNR Analog Frontend with 0.18% THD for Low Power Sensory Applications in 65NM CMOS K Badami, KD Murthy, P Harpe, and M Verhelst · Conference Proceeding · 2018 IEEE Symposium on VLSI Circuits, Digest of Technical Papers; 2018; Vol. 2018-June; pp. 241 - 242
A 400GΩ Input-Impedance, 220MV < /inf>pp< //inf> Linear-Input-Range, 2.8Vpp CM-Interference-Tolerant Active Electrode for Non-Contact Capacitively Coupled ECG Acquisition M Chen, ID Castro, Qiuyang Lin, T Torfs, F Tavernier, C Van Hoof, and N Van Hellenutte · Conference Proceeding · 2018 IEEE Symposium on VLSI Circuits, Digest of Technical Papers; 2018; Vol. 2018-June; pp. 129 - 130
A D-band Foam-Cladded Dielectric Waveguide Communication Link with Automatic Tuning yang Zhang, Maxime De Wit, and patrick Reynaert · Conference Proceeding · 2018 ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC); 2018; pp. 234 - 237
PATENT - Method to improve analog fault coverage using test diodes Ronny Vanhooren, Wim Dobbelaere, Baris Esen, Anthony Coyette, and Georges Gielen · Other · 2018
Analytic variability study of inference accuracy in RRAM arrays with a binary tree winner-take-all circuit for neuromorphic applications J Doevenspeck, R Degraeve, S Cosemans, P Roussel, BE Verhoef, R Lauwereins, and W Dehaene · Conference Proceeding · 2018 European Solid-State Device Research Conference; 2018; Vol. 2018-September; pp. 62 - 65
A Coupled-RTWO-Based Subharmonic Receiver Front End for 5G E-Band Backhaul Links in 28-nm Bulk CMOS Marco Vigilante and Patrick Reynaert · Journal Article · 2018 IEEE Journal Of Solid-State Circuits; 2018; Vol. 53; iss. 10; pp. 2927 - 2938
From on-chip self-healing to self-adaptivity in analog/RF ICs: Challenges and opportunities M Andraud and M Verhelst · Conference Proceeding · 2018 2018 IEEE 24th International Symposium on On-Line Testing and Robust System Design, IOLTS 2018; 2018; pp. 131 - 134
Operational experience with the GEM detector assembly lines for the CMS forward muon upgrade Mietek Dabrowski, D Abbaneo, M Abbrescia, A Ahmad, W Ahmed, C Ali, PR Altieri, M Amr, I Asghar, P Aspell, Y Assran, C Avila, Y Ban, R Band, S Bansal, G Bencze, N Beni, L Benussi, V Bhatnagar, V Bhopatkar, M Bianco, S Bianco, L Borgonovi, O Bouhali, S Braibant-Giacomelli, C Bravo, V Cafaro, C Calabria, M Caponero, F Cassese, A Castaneda Hernandez, FR Cavallo, N Cavallo, G Chen, SS Chhibra, S Choi, S Colafranceschi, A Colaleo, A Conde Garcia, MM Dabrowski, M Dalchenko, G De Lentdecker, G De Robertis, S Dildick, J Dong, B Dorney, G Endroczi, R Erbacher, F Errico, F Fallavollita, P Giacomelli, SG Gigli, J Gilmore, V Giordano, M Gola, CF Gonzalez Hernandez, M Gruchala, L Guiducci, R Gupta, A Gutierrez, R Hadjiiska, T Hakkarainen, Md Hasbuddin, J Hauser, C Heidemann, K Hoepfner, M Hohlmann, H Hoorani, H Huang, T Huang, P Iaydjiev, V Jha, A Juodagalvis, E Juska, T Kamon, PE Karchin, A Kaur, H Keller, WA Khan, JS Kim, H Kim, A Kotsokechagia, A Kumar, P Kumari, JSH Lee, T Lenzi, A Leonard, P Leroux, Q Li, L Litov, F Loddo, M Lohan, T Maerschalk, M Maggi, A Magnani, N Majumdar, A Makovec, S Malhotra, A Marinov, N Mccoll, C Mclean, JA Merlin, DK Mishra, S Mohamed, T Mohamed, J Molnar, S Muhammad, S Mukhopadhyay, Md Naimuddin, PK Netrakant, S Nuzzo, LM Pant, P Paolucci, I Park, L Passamonti, G Passeggio, B Pavlov, A Peck, H Petrow, B Philipps, D Piccolo, D Pierluigi, F Primavera, A Purohit, R Radogna, G Raffone, M Rahmani, A Ranieri, V Rapsevicius, G Rashevski, M Ressegotti, C Riccardi, M Rodozov, E Romano, JD Ruiz Alvarez, A Russo, R King, A Safonov, D Saltzberg, S Salva, G Saviano, A Shah, A Sharma, R Sharma, M Shopova, F Simone, JB Singh, E Soldani, J Sturdy, A Sultan, G Sultanov, Z Szillasi, F Tavernier, F Thyssen, T Tuuva, M Tytgat, B Ujvari, I Vai, R Venditti, P Verwilligen, P Vitulo, D Wang, Y Yang, U Yang, X Yang, R Yonamine, S Zaleski, F Zenoni, and ER Starling · Journal Article · 2018 IEEE Transactions On Nuclear Science; 2018; Vol. 65; iss. 11; pp. 2808 - 2816
A Systematic Performance Comparison of Ultra Low-Power AES S-Boxes Thomas Vandenabeele, Roel Uytterhoeven, Wim Dehaene, and Nele Mentens · Conference Proceeding · 2018 PATMOS 2018; 2018; pp. 248 - 253
Towards resource-efficient classifiers for always-on monitoring Jonas Vlasselaer, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2018 Proceedings of the European Conference on Machine Learning and Principles and Practice of Knowledge Discovery in Databases (ECML/PKDD); 2018; Vol. 11053 LNAI; pp. 305 - 321
THz Circuits in CMOS: Dream or Nightmare? Kaizhe Guo, Wouter Steyaert, Alexander Standaert, Dragan Simic, and Patrick Reynaert · Journal Article · 2018 2018 First International Workshop on Mobile Terahertz Systems (IWMTS); 2018
In-Panel 31.17dB 140kHz 87μW Unipolar Dual-Gate In-Ga-Zn-O Charge-Sense Amplifier for 500dpi Sensor Array on Flexible Displays Nikolaos Papadopoulos, S Steudel, Florian De Roose, DM Eigabry, AJ Kronemeijer, Jan Genoe, Wim Dehaene, and K Myny · Conference Proceeding · 2018 ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC); 2018; pp. 194 - 197
How school context and personal factors relate to teachers' attitudes toward teaching integrated STEM Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Journal Article · 2018 International Journal of Technology & Design Education; 2018; Vol. 28; iss. 3; pp. 631 - 651
A Charge-Sharing Bandpass Filter Topology with Boosted Q-Factor in 40-nm CMOS Filipe Baumgratz, Sandro Ferreira, Michel Steyaert, Sergio Bampi, and Filip Tavernier · Conference Proceeding · 2018 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI); 2018; pp.
Fully-VCO-based 0-2 MASH CT Delta Sigma ADC Xinpeng Xing, Peng Zhu, Hui Liu, and Georges Gielen · Journal Article · 2018 Electronics Letters; 2018; Vol. 54; iss. 17; pp. 1018 - 1019
A 400 GHz Transmitter Integrated with Flip-chiped 3D Printed Horn Antenna with an EIRP of 1.26 dBm Alexander Standaert and Patrick Reynaert · Conference Proceeding · 2018 2018 IEEE MTT-S International Microwave Symposium; 2018; Vol. 2018-June; pp. 141 - 144
Novel Broadband Transition for Rectangular Dielectric Waveguide to Planar Circuit Board at D Band WL Tsai, I Ocket, J Vaes, M Cauwe, P Reynaert, and B Nauwelaers · Conference Proceeding · 2018 IEEE MTT-S International Microwave Symposium Digest; 2018; Vol. 2018-June; pp. 386 - 389