Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Analyzing the Energy-Latency-Area-Accuracy Trade-off Across Contemporary Neural Networks Vikram Jain, linyan Mei, and Marian Verhelst · Conference Proceeding · 2021 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2021; pp.
OxRRAM-Based Analog in-Memory Computing for Deep Neural Network Inference: A Conductance Variability Study J Doevenspeck, R Degraeve, A Fantini, S Cosemans, A Mallik, P Debacker, D Verkest, R Lauwereins, and W Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 5; pp. 2301 - 2305
Analysis and Design of Lossy Capacitive Over-Neutralization Technique for Amplifiers Operating Near f(MAX) Dragan Simic and Patrick Reynaert · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 5; pp. 1945 - 1955
Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing Henrique Bestani Seidel, Morgana Macedo Azevedo da Rosa, Guilherme Paim, Eduardo Antonio Cesar da Costa, Sergio JM Almeida, and Sergio Bampi · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 5; pp. 1814 - 1826
Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors Guilherme Ferreira, Guilherme Paim, Leandro MG Rocha, Gustavo M Santana, Renato H Neuenfeld, Eduardo AC Costa, and Sergio Bampi · Journal Article · 2021 Iet Computers And Digital Techniques; 2021; Vol. 15; iss. 3; pp. 230 - 240
Performance analysis of in-band collision detection for dense wireless networks Tom Vermeulen, Brecht Reynders, Fernando E Rosas, Marian Verhelst, and Sofie Pollin · Journal Article · 2021 Eurasip Journal On Wireless Communications And Networking; 2021; Vol. 2021; iss. 1; pp.
On the Resiliency of NCFET Circuits Against Voltage Over-Scaling Guilherme Paim, Georgios Zervakis, Girish Pahwa, Yogesh Singh Chauhan, Eduardo Antonio Cesar da Costa, Sergio Bampi, Joerg Henkel, and Hussam Amrouch · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 4; pp. 1481 - 1492
External compensation for high‐resolution active matrix organic light‐emitting diode displays Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Florian De Roose, Mikaël Bonnifait, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemeijer, Soeren Steudel, Jan Genoe, Wim Dehaene, and Kris Myny · Journal Article · 2021 Journal Of The Society For Information Display; 2021; Vol. 29; iss. 7; pp. 1 - 15
A Scalable 128-channel, Time-multiplexed Potentiostat for Parallel Electrochemical Experiments Tom R Molderez, Korneel Rabaey, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 3; pp. 1068 - 1079
A chip-based 128-channel potentiostat for high-throughput studies of bioelectrochemical systems: Optimal electrode potentials for anodic biofilms Tom Molderez, Antonin Prévoteau, Frederik Ceyssens, Marian Verhelst, and Korneel Rabaey · Journal Article · 2021 Biosensors & Bioelectronics; 2021; Vol. 174; pp.
22.3 A 0.42THz Coherent TX-RX System Achieving 10dBm EIRP and 27dB NF in 40nm CMOS for Phase-Contrast Imaging Dragan Simić, Kaizhe Guo, and Patrick Reynaert · Conference Proceeding · 2021 2021 IEEE International Solid- State Circuits Conference (ISSCC); 2021; Vol. 64; pp. 318 - 320
Robust, Efficient Distributed Power Amplifier Achieving 96 Gbit/s With 10 dBm Average Output Power and 3.7% PAE in 22-nm FD-SOI Umut Celik and Patrick Reynaert · Journal Article · 2021 IEEE Journal Of Solid-State Circuits; 2021; Vol. 56; iss. 2; pp. 382 - 391
An Energy-Efficient Haar Wavelet Transform Architecture for Respiratory Signal Processing Morgana M da Rosa, Henrique B Seidel, Guilherme Paim, Eduardo AC da Costa, Sergio Almeida, and Sergio Bampi · Journal Article · 2021 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2021; Vol. 68; iss. 2; pp. 597 - 601
Emulation of Synaptic Scaling Based on MoS2 Neuristor for Self-Adaptative Neuromorphic Computing Lindong Wu, Lin Bao, Zongwei Wang, Zhizhen Yu, Bowen Wang, Qingyu Chen, Yaotian Ling, Yabo Qin, Kechao Tang, Yimao Cai, and Ru Huang · Journal Article · 2021 Advanced Electronic Materials; 2021; Vol. 7; iss. 4; pp.
In-Memory Neural Network Computing with Resistive Memories Jonas Doevenspeck · Dissertation · 2021
Wearable Multiple Modality Bio-Signal Recording and Processing on Chip: A Review Qiuyang Lin, Shuang Song, Ivan D Castro, Hui Jiang, Mario Konijnenburg, Roland van Wegberg, Dwaipayan Biswas, Stefano Stanzione, Wim Sijbers, Chris van Hoof, Filip Tavernier, and Nick van Helleputte · Journal Article · 2021 IEEE Sensors Journal; 2021; Vol. 21; iss. 2; pp. 1108 - 1123
Dispersion in Millimeter-wave and THz Dielectric Waveguides: Modeling, Measurement and Performance Limitations Joren Vaes and Patrick Reynaert · Conference Proceeding · 2021 2020 50th European Microwave Conference (EuMC); 2021; pp. 991 - 994
High-Utilization, High-Flexibility Depth-First CNN Coprocessor for Image Pixel Processing on FPGA Steven Colleman and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2021; Vol. 29; iss. 3; pp. 461 - 471
Dynamic Complexity Tuning for Hardware-Aware Probabilistic Circuits Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, and Marian Verhelst · Conference Proceeding · 2021 IoT Streams for Data-Driven Predictive Maintenance and IoT, Edge, and Mobile for Embedded Machine Learning; 2021; pp. 283 - 295
Two-Stage Resistor-Load Logic for Digital Applications on Flexible Substrates Hikmet Çeliker, Antony Sou, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 2021 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS); 2021; pp. 1 - 4
A Low Power Dynamic Circuit Topology towards a-IGZO Thin-Film Ultrasonic Transducer Driving Circuit Jonas Pelgrims, kris Myny, and Wim Dehaene · Conference Proceeding · 2021 2021 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS); 2021; pp. 1 - 4
Processor Architecture Optimization for Spatially Dynamic Neural Networks Steven Colleman, Thomas Verelst, Linyan Mei, Tinne Tuytelaars, and Marian Verhelst · Conference Proceeding · 2021 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC); 2021; pp.
Circuit models for the co-simulation of superconducting quantum computing systems Rohith Acharya, Fahd A Mohiyaddin, Anton Potocnik, Kristiaan De Greve, Bogdan Govoreanu, Iuliana P Radu, Georges Gielen, and Francky Catthoor · Conference Proceeding · 2021 PROCEEDINGS OF THE 2021 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2021); 2021; pp. 968 - 973
Emulation of biphasic plasticity in retinal electrical synapses for light-adaptive pattern pre-processing L Wu, Z Wang, B Wang, Q Chen, L Bao, Z Yu, Y Yang, Y Ling, Y Qin, and K Tang · Journal Article · 2021 Nanoscale; 2021; Vol. 13; iss. 6; pp. 3483 - 3492
A Low-Complexity Radar Detector Outperforming OS-CFAR for Indoor Drone Obstacle Avoidance Ali Safa, Tim Verbelen, Lars Keuninckx, Ilja Ocket, Mathias Hartmann, Andre Bourdoux, Francky Catthoor, and Georges GE Gielen · Journal Article · 2021 IEEE Journal Of Selected Topics In Applied Earth Observations And Remote Sensing; 2021; Vol. 14; pp. 9162 - 9175
LOMA: Fast Auto-Scheduling on DNN Accelerators through Loop-Order-based Memory Allocation Arne Symons, Linyan Mei, and Marian Verhelst · Conference Proceeding · 2021 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2021; pp. 1 - 4
PIU: A 248GOPS/W Stream-Based Processor for Irregular Probabilistic Inference Networks Using Precision-Scalable Posit Arithmetic in 28nm Nimish Shah, Laura Isabel Galindez Olascoaga, Shirui Zhao, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2021 Proceedings of 2021 IEEE International Solid- State Circuits Conference (ISSCC); 2021; Vol. 64; pp. 1 - 3
PeakRNN and StatsRNN: Dynamic Pruning in Recurrent Neural Networks Zuzana Jelcicova, Rasmus Jones, David Thorn Blix, Marian Verhelst, and Jens Sparso · Conference Proceeding · 2021 29TH EUROPEAN SIGNAL PROCESSING CONFERENCE (EUSIPCO 2021); 2021; pp. 416 - 420
Circuit Model for the Efficient Co-Simulation of Spin Qubits and their Control & Readout Circuitry B Gys, FA Mohiyaddin, R Acharya, R Li, K De Greve, G Gielen, B Govoreanu, IP Radu, and F Catthoor · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC); 2021; pp. 63 - 66
A PAM4 Dielectric Waveguide Link in 28 nm CMOS Kristof Dens, Joren Vaes, Simon Ooms, Martin Wagner, and Patrick Reynaert · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC); 2021; pp. 479 - 482
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV(2)f Power Consumption Jonas Pelgrims, Kris Myny, and Wim Dehaene · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 159 - 162
A 96-channel 40nm CMOS Fully-Integrated Potentiostat for Electrochemical Monitoring Peishuo Li, Tom R Molderez, and Marian Verhelst · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 167 - 170
Enhanced data integrity of In-Ga-Zn-Oxide based Capacitor-less 2T memory for DRAM applications Hyungrock Oh, Attilio Belmonte, Manu Perumkunnil, Jerome Mitard, Nouredine Rassoul, Gabriele Luca Donadio, Romain Delhougne, Arnaud Furnemont, Gouri Sankar Kar, and Wim Dehaene · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 275 - 278
Performance Comparison of Self-Mixing Transistors at 0.56 and 1.06 THz in 65nm CMOS Ariane De Vroede and Patrick Reynaert · Conference Proceeding · 2021 2021 46th International Conference on Infrared, Millimeter and Terahertz Waves (IRMMW-THz); 2021; pp. 1 - 2
LSTM-only Model for Low-complexity HR Estimation from Wrist PPG Leandro Giacomini Rocha, Guilherme Paim, Dwaipayan Biswas, Sergio Bampi, Francky Catthoor, Chris Van Hoof, and Nick Van Helleputte · Conference Proceeding · 2021 2021 43RD ANNUAL INTERNATIONAL CONFERENCE OF THE IEEE ENGINEERING IN MEDICINE & BIOLOGY SOCIETY (EMBC); 2021; Vol. 2021; pp. 1068 - 1071
A 605GHz 0.84mW Harmonic Injection-Locked Receiver Achieving 2.3pW/√Hz NEP in 28nm CMOS Ariane De Vroede and Patrick Reynaert · Conference Proceeding · 2021 2021 IEEE International Solid- State Circuits Conference (ISSCC); 2021; Vol. 64; pp. 1 - 3
A 28 mu W 134dB DR 2nd-Order Noise-Shaping Slope Light-to-Digital Converter for Chest PPG Monitoring Qiuyang Lin, Shuang Song, Roland Van Wegberg, Mario Konijnenburg, Dwaipayan Biswas, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Conference Proceeding · 2021 2021 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC); 2021; Vol. 64; pp. 390 - +
A Doherty-Like Load-Modulated Balanced Power Amplifier Achieving 15.5dBm Average P-out and 20% Average PAE at a Data Rate of 18Gb/s in 28nm CMOS Valdrin Qunaj and Patrick Reynaert · Conference Proceeding · 2021 2021 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC); 2021; Vol. 64; pp. 356 - +
Circuit Model for the Efficient Co-Simulation of Spin Qubits and their Control & Readout Circuitry B Gys, FA Mohiyaddin, R Acharya, R Li, K De Greve, G Gielen, B Govorcanu, IP Radu, and F Catthoor · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 63 - 66
Noise tolerant ternary weight deep neural networks for analog in-memory inference Jonas Doevenspeck, Peter Vrancx, Nathan Laubeuf, Arindam Mallik, Peter Debacker, Diederik Verkest, Rudy Lauwereins, and Wim Dehaene · Conference Proceeding · 2021 2021 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS (IJCNN); 2021; pp.
Dual-Input Pseudo-CMOS Logic for Digital Applications on Flexible Substrates Hikmet Çeliker, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 255 - 258
An a-IGZO TFT based Op-Amp with 57 dB DC-Gain, 311 KHz Unity-gain Freq., 75 deg. Phase Margin and 2.43 mW Power on Flexible Substrate Mohit Dandekar, Kris Myny, and Wim Dehaene · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 407 - 410
A 0-dB STF-Peaking 85-MHz BW 74.4-dB SNDR CT Delta Sigma ADC With Unary-Approximating DAC Calibration in 28-nm CMOS Hui Liu, Xinpeng Xing, and Georges Gielen · Journal Article · 2021 IEEE Journal Of Solid-State Circuits; 2021; Vol. 56; iss. 1; pp. 287 - 297
Plastic Microwave Fibers at Millimeter-wave and THz Frequencies as a Low Cost Data Link Joren Vaes, Kristof Dens, Guillaume Ducournau, and Patrick Reynaert · Conference Proceeding · 2021 2021 IEEE MTT-S INTERNATIONAL MICROWAVE SYMPOSIUM (IMS); 2021; pp. 589 - 591
Power-efficient VCO-based ADCs for Wireless Communication Systems Xinpeng Xing, Xuegian Shang, Senji Liu, Xinfa Zheng, and Georges Gielen · Conference Proceeding · 2021 18TH INTERNATIONAL SOC DESIGN CONFERENCE 2021 (ISOCC 2021); 2021; pp. 244 - 245
A PVT-Compensated 0.1-67 GHz Injection-Locked Frequency Divider with Replica-based Automatic Tuning Maarten Baert and Wim Dehaene · Conference Proceeding · 2021 2021 IEEE RADIO FREQUENCY INTEGRATED CIRCUITS SYMPOSIUM (RFIC); 2021; pp. 75 - 78
A Power-Efficient FFT Hardware Architecture Exploiting Approximate Adders Guilherme Ferreira, Pedro TL Pereira, Guilherme Paim, Eduardo Costa, and Sergio Bampi · Conference Proceeding · 2021 2021 IEEE 12TH LATIN AMERICA SYMPOSIUM ON CIRCUITS AND SYSTEM (LASCAS); 2021; pp.
A Robust and Power-Efficient Power Line Interference Canceling VLSI Design Morgana da Rosa, Patricia da Costa, Eduardo da Costa, Sergio Almeida, Guilherme Paim, and Sergio Bampi · Conference Proceeding · 2021 34TH SBC/SBMICRO/IEEE/ACM SYMPOSIUM ON INTEGRATED CIRCUITS AND SYSTEMS DESIGN (SBCCI 2021); 2021; pp.
A Versatile and Efficient 0.1-to-11 Gb/s CML Transmitter in 40-nm CMOS Jun Feng, Mohammadreza Beikmirza, Mohammadreza Mehrpoo, Leo CN de Vreede, and Morteza S Alavi · Conference Proceeding · 2021 18TH INTERNATIONAL SOC DESIGN CONFERENCE 2021 (ISOCC 2021); 2021; pp. 41 - 42
Boosting the Efficiency of the Harmonics Elimination VLSI Architecture by Arithmetic Approximations Patricia da Costa, Pedro TL Pereira, Guilherme Paim, Eduardo da Costa, and Sergio Bampi · Conference Proceeding · 2021 2021 28TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS, AND SYSTEMS (IEEE ICECS 2021); 2021; pp.
Exploring Approximate Adders for Power-Efficient Harmonics Elimination Hardware Architectures Pedro TL Pereira, Guilherme Paim, Guilherme Ferreira, Eduardo Costa, Sergio Almeida, and Sergio Bampi · Conference Proceeding · 2021 2021 IEEE 12TH LATIN AMERICA SYMPOSIUM ON CIRCUITS AND SYSTEM (LASCAS); 2021; pp.
A study on lower saturation voltage of dual-gate thin-film a-IGZO MOS transistors Tarun Kumar Agarwal, Aris Siskos, Florian De Roose, Wim Dehaene, Kris Myny, and Nikolas Papadopoulos · Conference Proceeding · 2021 PROCEEDINGS OF THE 2021 IEEE INTERNATIONAL CONFERENCE ON FLEXIBLE AND PRINTABLE SENSORS AND SYSTEMS (FLEPS); 2021; pp.
Chip Design for Future Gravitational Wave Detectors Filip Tavernier, Alberto Gatti, and ciana Barretto · Conference Proceeding · 2020 2020 IEEE International Electron Devices Meeting (IEDM); 2020; pp. 1 - 4
Hardware-Aware Probabilistic Models: Learning, Inference and Use Cases Laura Isabel Galindez Olascoaga · Dissertation · 2020
Improving the EMI Robustness of Feedback-based Time-Encoding Readout Architectures for Resistive Sensor Interfaces Elisa Sacco, Johan Vergauwen, and Georges Gielen · Conference Proceeding · 2020 2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS); 2020; pp. 1 - 4
Pipelined extended-counting I Delta Sigma for 3D-stacked CMOS image sensors N Callen, J Lefebvre, and G Gielen · Journal Article · 2020 Electronics Letters; 2020; Vol. 56; iss. 23; pp. 1239 - +
Quick Analyses for Improving Reliability and Functional Safety of Mixed-Signal ICs Stephen Sunter, Michal Wolinski, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, Nektar Xama, Jhon Gomeze, and Georges Gielen · Conference Proceeding · 2020 2020 IEEE International Test Conference (ITC); 2020; pp.
Power-Efficient Approximate Newton-Raphson Integer Divider Applied to NLMS Adaptive Filter for High-Quality Interference Cancelling Vagner Guidotti, Guilherme Paim, Leandro MG Rocha, Eduardo Costa, Sergio Almeida, and Sergio Bampi · Journal Article · 2020 Circuits Systems And Signal Processing; 2020; Vol. 39; iss. 11; pp. 5729 - 5757
Design of THz Radiators in Nanometer CMOS Kaizhe Guo · Dissertation · 2020
A 390-GHz Outphasing Transmitter in 28-nm CMOS Alexander Standaert and Patrick Reynaert · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 10; pp. 2703 - 2713
A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders Guilherme Paim, Leandro Mateus Giacomini Rocha, Hussam Amrouch, Eduardo Antonio Cesar da Costa, Sergio Bampi, and Jorg Henkel · Journal Article · 2020 IEEE Transactions On Circuits And Systems For Video Technology; 2020; Vol. 30; iss. 10; pp. 3814 - 3828
Exploring high-order adder compressors for power reduction in sum of absolute differences architectures for real-time UHD video encoding Guilherme Paim, Gustavo M Santana, Brunno A Abreu, Leandro MG Rocha, Mateus Grellert, Eduardo AC da Costa, and Sergio Bampi · Journal Article · 2020 Journal Of Real-Time Image Processing; 2020; Vol. 17; iss. 5; pp. 1735 - 1754
Data Communication Through Polymer Waveguides Alexander Standaert · Dissertation · 2020
38‐4: A 2T1C AMOLED Display with External Compensation Reducing On‐Panel Current Variations to 0.079% Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemijer, Paul Heremans, Jan Genoe, Wim Dehaene, and Kris Myny · Conference Proceeding · 2020 SID's Digest of Technical Papers; 2020; Vol. 51; iss. 1; pp. 547 - 550
78-4: IGZO-based Identification Tags Communicating with Everyday Touchscreens Nikolaos Papadopoulos, Hikmet Çeliker, Weiming Qiu, Marc Ameys, Steve Smout, Myriam Willegems, Filip Deroo, Jan-Laurens van der Steen, Auke Jisk Kronemeijer, Marco Dehouwer, Alexander Mityashin, Robert Gehlhaar, Wim Dehaene, and Kris Myny · Journal Article · 2020 SID Symposium Digest of Technical Papers; 2020; Vol. 51; iss. 1; pp. 1167 - 1170
Efficient Offline Outer/Inner DAC Mismatch Calibration in Wideband ΔΣ ADCs Hui Liu, Pieter Rombouts, and Georges Gielen · Journal Article · 2020 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2020; Vol. 67; iss. 12; pp. 4259 - 4269
Design and experiment of a sun-powered smart building envelope with automatic control Qiliang Lin, Yanchu Zhang, Arnaud Van Mieghem, Yi-Chung Chen, Nanfang Yu, Yuan Yang, and Huiming Yin · Journal Article · 2020 Energy And Buildings; 2020; Vol. 223; pp.
Modeling and Optimization of Plasmonic Detectors for Beyond-CMOS Plasmonic Majority Logic Gates Samantha Lubaba Noor, Kristof Dens, Patrick Reynaert, Francky Catthoor, Dennis Lin, Pol Van Dorpe, and Azad Naeemi · Journal Article · 2020 Journal Of Lightwave Technology; 2020; Vol. 38; iss. 18; pp. 5092 - 5099
A D-Band 3D-Printed Antenna Chao Gu, Steven Gao, Vincent Fusco, Gregory Gibbons, Benito Sanz-Izquierdo, Alexander Standaert, Patrick Reynaert, Wolfgang Bosch, Michael Gadringer, Rui Xu, and Xuexia Yang · Journal Article · 2020 IEEE Transactions On Terahertz Science And Technology; 2020; Vol. 10; iss. 5; pp. 433 - 442
A 16.1-bit Resolution 0.064-mm(2) Compact Highly Digital Closed-Loop Single-VCO-Based 1-1 Sturdy-MASH Resistance-to-Digital Converter With High Robustness in 180-nm CMOS Elisa Sacco, Johan Vergauwen, and Georges Gielen · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 9; pp. 2456 - 2467
Time-Encoding Analog-to-Digital Converters: Bridging the Analog Gap to Advanced Digital CMOS?Part 2: Architectures and Circuits Georges Gielen, Luis Hernandez, and Pieter Rombouts · Journal Article · 2020 IEEE Solid-State Circuits Magazine; 2020; Vol. 12; iss. 3; pp. 18 - 27
Machine Learning-based Defect Coverage Boosting of Analog Circuits under Measurement Variations Nektar Xama, Martin Andraud, Jhon Gomez, Baris Esen, Wim Dobbelaere, Ronny Vanhooren, Anthony Coyette, and Georges Gielen · Journal Article · 2020 ACM Transactions on Design Automation of Electronic Systems; 2020; Vol. 25; iss. 5; pp.
Flexible, Self-adaptive Sense-and-Compress SoC for sub-microWatt always-on sensory recording Jaro De Roose, Haoming Xin, Ahmed Hallawa, Gerd Ascheid, Pieter Harpe, and Marian Verhelst · Journal Article · 2020 IEEE Solid State Circuits Letters; 2020; Vol. 3; pp. 362 - 365
Modeling and Optimization of Hybrid FinFET-Silicon Photonic Interconnects Nicolas Pantano, Michal Rakowski, Davide Guermandi, Marian Verhelst, and Joris Van Campenhout · Journal Article · 2020 Journal Of Lightwave Technology; 2020; Vol. 38; iss. 16; pp. 4325 - 4332
Multi-terminal ionic-gated low-power silicon nanowire synaptic transistors with dendritic functions for neuromorphic systems Xiaokang Li, Bocheng Yu, Bowen Wang, Lin Bao, Baotong Zhang, Haixia Li, Zhizhen Yu, Teng Zhang, Yuancheng Yang, Ru Huang, Yanqing Wu, and Ming Li · Journal Article · 2020 Nanoscale; 2020; Vol. 12; iss. 30; pp. 16348 - 16358
Binary CorNET: Accelerator for HR Estimation From Wrist-PPG Leandro Giacomini Rocha, Dwaipayan Biswas, Bram-Ernst Verhoef, Sergio Bampi, Chris Van Hoof, Mario Konijnenburg, Marian Verhelst, and Nick Van Helleputte · Journal Article · 2020 IEEE Transactions On Biomedical Circuits And Systems; 2020; Vol. 14; iss. 4; pp. 715 - 726
A 119dB Dynamic Range Charge Counting Light-to-Digital Converter For Wearable PPG/NIRS Monitoring Applications Qiuyang Lin, Jiawei Xu, Shuang Song, Arjan Breeschoten, Mario Konijnenburg, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Journal Article · 2020 IEEE Transactions On Biomedical Circuits And Systems; 2020; Vol. 14; iss. 4; pp. 800 - 810
Power Efficiency Comparison of Event-Driven and Fixed-Rate Signal Conversion and Compression for Biomedical Applications Jonah Van Assche and Georges Gielen · Journal Article · 2020 IEEE Transactions On Biomedical Circuits And Systems; 2020; Vol. 14; iss. 4; pp. 746 - 756
A 28-nm Coarse Grain 2D-Reconfigurable Array With Data Forwarding S Smets, MD Gormony, M Jivanescu, T Goedemé, and Marian Verhelst · Journal Article · 2020 IEEE Solid State Circuits Letters; 2020; Vol. 3; pp. 226 - 229
Bridging the Gap between Secondary and Higher STEM Education – the Case of STEM@school Jolien De Meester, Jelle Boeve-de Pauw, Marie-Paule Buyse, Stijn Ceuppens, Mieke De Cock, Haydée De Loof, Leen Goovaerts, Luc Hellinckx, Heidi Knipprath, Annemie Struyf, Lieve Thibaut, Didier Van de Velde, Peter Van Petegem, and Wim Dehaene · Journal Article · 2020 European Review; 2020; Vol. 28; iss. S1; pp. S135 - S157
Stacking Isolated SC Cores for High-Voltage Wide Input Range Monolithic DC–DC Conversion Elly De Pelecijn and michiel Steyaert · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 10; pp. 2639 - 2648
Avoiding Mixed-Signal Field Returns by Outlier Detection of Hard-to-Detect Defects based on Multivariate Statistics Jakob Raymaekers, Nektar Xama, Martin Andraud, Jhon Gomez Caicedo, Wim Dobbelaere, Ronny Vanhooren, Anthony Coyette, and Georges Gielen · Conference Proceeding · 2020 2020 IEEE European Test Symposium (ETS); 2020; pp.
Completion Detection-Based Timing Error Detection and Correction in a Near-Threshold RISC-V Microprocessor in FDSOI 28 nm Roel Uytterhoeven and Wim Dehaene · Journal Article · 2020 IEEE Solid-State Circuits Letters; 2020; Vol. 3; pp. 230 - 233
Special Section on the 45th IEEE European Solid-State Circuits Conference (ESSCIRC) Lucien J Breems, Patrick Reynaert, and Sylvain Clerc · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 7; pp. 1747 - 1748
A 4-GS/s 39.9-dB SNDR 11.7-mW Hybrid Voltage-Time Two-Step ADC With Feedforward Ring Oscillator-Based TDCs Yifan Lyu and Filip Tavernier · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 7; pp. 1807 - 1818
Self-Selective Resistive Device With Hybrid Switching Mode for Passive Crossbar Memory Application Zongwei Wang, Jian Kang, Guandong Bai, Guofang Zhong, Bowen Wang, Yaotian Ling, Qingyu Chen, Lin Bao, Lindong Wu, Yimao Cai, John Robertson, and Ru Huang · Journal Article · 2020 IEEE Electron Device Letters; 2020; Vol. 41; iss. 7; pp. 1009 - 1012
1310/1550 nm Optical Receivers With Schottky Photodiode in Bulk CMOS Wouter Diels, Michiel Steyaert, and Filip Tavernier · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 7; pp. 1776 - 1784
Time-Encoding Analog-to-Digital Converters: Bridging the Analog Gap to Advanced Digital CMOS - Part 1: Basic Principles Georges Gielen, L Hernandez, and Pieter Rombouts · Journal Article · 2020 IEEE Solid State Circuits Magazine; 2020; Vol. 12; iss. 2; pp. 47 - 55
A 5-GS/s 158.6-mW 9.4-ENOB Passive-Sampling Time-Interleaved Three-Stage Pipelined-SAR ADC With Analog-Digital Corrections in 28-nm CMOS Athanasios T Ramkaj, Juan C Pena Ramos, Marcel JM Pelgrom, Michiel SJ Steyaert, Marian Verhelst, and Filip Tavernier · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 6; pp. 1553 - 1564
A 5GS/s 7.2 ENOB Time-Interleaved VCO-based ADC Achieving 30.5fJ/cs Maarten Baert and Wim Dehaene · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 6; pp. 1577 - 1587
PATENT - Electrical machines Herbert De Gersem, Georges Gielen, Joan Peuterman, Davy Pissoort, and Dries Vanoost · Other · 2020
Discriminative Bias for Learning Probabilistic Sentential Decision Diagrams Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, Guy Van den Broeck, and Marian Verhelst · Conference Proceeding · 2020 Advances in Intelligent Data Analysis XVIII; 2020; Vol. 12080; pp. 184 - 196