Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
A Flexible End-to-End Dual ASIC Transceiver for OFDM Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS); 2022; pp. 21 - 25
A 28 nm CMOS Triple-Latch Feed-Forward Dynamic Comparator With < / 27 ps / 1 V and < / 70 ps / 0.6 V Delay at 5 mV-Sensitivity Athanasios T Ramkaj, Marcel JM Pelgrom, Michiel SJ Steyaert, and Filip Tavernier · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 11; pp. 4404 - 4414
Neuromorphic Near-Sensor Computing: From Event-Based Sensing to Edge Learning Ali Safa, Jonah Van Assche, Mark Daniel Alea, Francky Catthoor, and Georges GE Gielen · Journal Article · 2022 IEEE Micro; 2022; Vol. 42; iss. 6; pp. 88 - 95
DDtM: Increasing Latent Defect Detection in Analog/Mixed-Signal ICs Using the Difference in Distance to Mean Value Jhon Gomez, Nektar Xama, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, and Georges Gielen · Journal Article · 2022 IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems; 2022; Vol. 41; iss. 11; pp. 4771 - 4781
Energy-Quality Scalable Design Space Exploration of Approximate FFT Hardware Architectures Pedro Taua Lopes Pereira, Patricia Ucker Leleu da Costa, Guilherme da Costa Ferreira, Brunno Alves de Abreu, Guilherme Paim, Eduardo Antonio Cesar da Costa, and Sergio Bampi · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 11; pp. 4524 - 4534
An all LTPS-TFT based Charge-Integrating-Amplifier for Sensor-Array Readout Circuit on Flexible Substrate Mohit Dandekar, Kris Myny, and Wim Dehaene · Journal Article · 2022 IEEE Open Journal of the Solid-State Circuits Society; 2022; pp.
A cryogenic inertial sensor for terrestrial and lunar gravitational-wave detection Joris van Heijningen, Alberto Gatti, Elvis Camilo Ferreira, Florian Bocchese, Stéphane Lucas, Andrea Perali, and Filip Tavernier · Journal Article · 2022 Nuclear Instruments & Methods In Physics Research Section A-Accelerators Spectrometers Detectors And Associated Equipment; 2022; Vol. 1041; pp.
Actively Multiplexed mu ECoG Brain Implant System With Incremental- Delta Sigma ADCs Employing Bulk-DACs Xiaohua Huang, Horacio Londono-Ramirez, Marco Ballini, Chris Van Hoof, Jan Genoe, Sebastian Haesler, Georges Gielen, Nick Van Helleputte, and Carolina Mora Lopez · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 11; pp. 3312 - 3323
A 96.9-dB-Resolution 109-mu W Second-Order Robust Closed-Loop VCO-Based Sensor Interface for Multiplexed Single-Ended Resistance Readout in 180-nm CMOS Elisa Sacco, Johan Vergauwen, and Georges Gielen · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 9; pp. 2764 - 2777
A Co-Simulation Methodology for the Design of Integrated Silicon Spin Qubits with their Control/Readout Cryo-CMOS Electronics B Gys, R Acharya, S Van Winckel, K De Greve, Georges Gielen, and F Catthoor · Journal Article · 2022 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2022; Vol. 12; iss. 3; pp. 685 - 693
DPU: DAG Processing Unit for Irregular Graphs With Precision-Scalable Posit Arithmetic in 28 nm Nimish Shirishbhai Shah, Laura Isabel Galindez Olascoaga, Shirui Zhao, Wannes Meert, and Marian Verhelst · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 8; pp. 1 - 11
Capitalizing on inequalities to build a sound partnership: The story of SISSTEM, an international collaboration between a continental and a small island state university Anouk Mertens, Nadine Buys, Patrick Arens, Georges Gielen, and Eric Mijts · Journal Article · 2022 Educación Superior y Sociedad; 2022; Vol. 34; iss. 1; pp. 527 - 552
A Time-Interleaved Extended-Counting Incremental ΔΣ for Low-Noise High-Speed 3D-Stacked CMOS Image Sensors Nicolas Callens and Georges Gielen · Journal Article · 2022 IEEE Sensors Letters; 2022; Vol. 6; iss. 8; pp.
TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, Tiny Versatile System-on-chip with State-Retentive eMRAM for Machine Learning Inference at the Extreme Edge Vikram Jain, Sebastian Giraldo, Jaro De Roose, Bert Boons, Linyan Mei, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits); 2022; pp.
Experimental Validation of a Compact Pinhole Latent Defect Model for MOS Transistors Jhon Gomez, Nektar Xama, Dirk Lootens, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, and Georges Gielen · Journal Article · 2022 IEEE Transactions On Electron Devices; 2022; Vol. 69; iss. 9; pp. 4796 - 4802
C2PAx: Complexity-Aware Constant Parameter Approximation for Energy-Efficient Tree-Based Machine Learning Accelerators Brunno Alves de Abreu, Guilherme Paim, Mateus Grellert, and Sergio Bampi · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 7; pp. 2683 - 2693
Graph Analytics on RISC-V GPU: Where are the Bottlenecks? Nimish Shah and Marian Verhelst · Other · 2022
Percolation-based nanodielectrics of conductive and core-shell nanoparticles for high-voltage structural carbon fibre composite capacitors Ruben Windey, Francisco Molina-Lopez, Filip Tavernier, Michel Steyaert, Paula Moldenaers, and Martine Wevers · Conference Proceeding · 2022 Curran Associates Inc; 2022; pp. 104 - 104
Fair and Comprehensive Benchmarking of Machine Learning Processing Chips Geoffrey W Burr, SukHwan Lim, Boris Murmann, Rangharajan Venkatesan, and Marian Verhelst · Journal Article · 2022 IEEE Design & Test; 2022; Vol. 39; iss. 3; pp. 18 - 27
Analysis and Implementation of Harmonic Injection Locking in Cross-Coupled Oscillators Exploiting Inter-Harmonic Translations Ariane De Vroede and Patrick Reynaert · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 8; pp. 3136 - 3149
Photoplethysmography (PPG) Sensor Circuit Design Techniques qiuyang Lin, Wim Sijbers, Christina Avidikou, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Conference Proceeding · 2022 2022 IEEE Custom Integrated Circuits Conference (CICC); 2022; pp.
A 400-to-12 V Fully Integrated Switched-Capacitor DC-DC Converter Achieving 119 mW/mm² at 63.6 % Efficiency Tuur Van Daele and Filip Tavernier · Conference Proceeding · 2022 2022 IEEE Custom Integrated Circuits Conference (CICC); 2022; pp. 1 - 2
Energy and side-channel security evaluation of near-threshold cryptographic circuits in 28nm FD-SOI technology Arthur Beckers, Roel Uytterhoeven, Thomas Vandenabeele, Jo Vliegen, Lennert Wouters, Joan Daemen, Wim Dehaene, Wolf Gierlichs, and Nele Mentens · Conference Proceeding · 2022 Proceedings of the 19th ACM International Conference on Computing Frontiers; 2022; pp. 258 - 262
SRAM Design in Super Scaled CMOS Technologies Mohit Kumar Gupta · Dissertation · 2022
Towards the next generation Heterogeneous Multi-core Multi-accelerator Architectures for Machine Learning Vikram Jain, Giuseppe Sarda, Pouya Houshmand, and Marian Verhelst · Other · 2022
A 510-to-545 GHz Radiating Source With an SIW-Based Harmonic Power Extractor in 40-nm CMOS Kaizhe Guo and Patrick Reynaert · Journal Article · 2022 IEEE Transactions On Terahertz Science And Technology; 2022; Vol. 12; iss. 3; pp. 245 - 256
Taxonomy and Benchmarking of Precision-Scalable MAC Arrays Under Enhanced DNN Dataflow Representation Ehab M Ibrahim, Linyan Mei, and Marian Verhelst · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 5; pp. 2013 - 2024
A 703.4-GOPs/W Binary SegNet Processor With Computing-Near-Memory Architecture for Road Detection Haoran Lyu, Fengwei An, Shirui Zhao, Wei Mao, and Hao Yu · Journal Article · 2022 IEEE Design & Test; 2022; Vol. 39; iss. 2; pp. 74 - 83
DIANA: An End-to-End Energy-Efficient Digital and ANAlog Hybrid Neural Network SoC Kodai Ueyoshi, Ioannis A Papistas, Pouya Houshmand, Giuseppe Maria Sarda, Vikram Jain, man Shi, Qilin Zheng, Sebastian Giraldo, Peter Vranckx, Jonas Doevenspeck, Debjyoti Bhattacharjee, Stefan Cosemans, Arindam Mallik, Peter Debacker, Diederik Verkest, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE International Conference on Solid-State Circuits (ISSCC); 2022; Vol. 65; pp.
Time-encoding VCO-ADCs for Integrated Systems-on-Chip Georges Gielen, Luis Hernandez-Corporales, and Pieter Rombouts · Book · 2022
Analysis and Design of Fourth Harmonic Boosting Technique for THz Signal Generation Kaizhe Guo and Patrick Reynaert · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 6; pp. 2311 - 2324
The 4-2 Fused Adder-Subtractor Compressor for Low-Power Butterfly-Based Hardware Architectures Bianca Silveira, Guilherme Paim, Brunno Alves Abreu, Rafael dos Santos Ferreira, Claudio Machado Diniz, Eduardo Antonio Cesar da Costa, and Sergio Bampi · Journal Article · 2022 Circuits Systems And Signal Processing; 2022; Vol. 41; iss. 3; pp. 1577 - 1595
Flex6502: A Flexible 8b Microprocessor in 0.8µm Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete Digital Design Flow Running Complex Assembly Code Hikmet Çeliker, Antony Sou, Brian Cobb, Wim Dehaene, and Kris Myny · Conference Proceeding · 2022 2022 IEEE International Solid- State Circuits Conference (ISSCC); 2022; pp. 272 - 273
Design Margin Reduction Through Completion Detection in a 28-nm Near-Threshold DSP Processor Roel Uytterhoeven and Wim Dehaene · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 2; pp. 651 - 660
Enabling real-time object detection on low cost FPGAs Vikram Jain, Ninad Jadhav, and Marian Verhelst · Journal Article · 2022 Journal Of Real-Time Image Processing; 2022; Vol. 19; iss. 1; pp. 217 - 229
A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers Guilherme Paim, Hussam Amrouch, Leandro MG Rocha, Brunno Abreu, Eduardo Antonio Cesar da Costa, Sergio Bampi, and Joerg Henkel · Journal Article · 2022 IEEE Transactions On Computers; 2022; Vol. 71; iss. 2; pp. 349 - 363
A 256-Channel Actively-Multiplexed μECoG Implant with Column-Parallel Incremental ΔΣ ADCs Employing Bulk-DACs in 22-Nm FDSOI Technology Xiaohua Huang, Horacio Londono-Ramirez, Marco Ballini, Chris Van Hoof, Jan Genoe, Sebastian Haesler, Georges Gielen, Nick Van Helleputte, and Carolina Mora Lopez · Conference Proceeding · 2022 Proc. 2022 IEEE International Solid- State Circuits Conference (ISSCC); 2022; Vol. 65; pp. 200 - 202
In the Pursuit of the Optimal Accuracy-Speed-Power Analog-to-Digital Converter Architecture Athanasios Ramkaj, Marcel Pelgrom, Michel Steyaert, and Filip Tavernier · Journal Article · 2022 IEEE Solid State Circuits Magazine; 2022; Vol. 14; iss. 1; pp. 45 - 53
High Dynamic Range ICs for Photoplethysmogram Application Qiuyang Lin · Dissertation · 2022
Optimizing Accelerator Configurability for Mobile Transformer Networks Steven Colleman, Peter Zhu, Wei Sun, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2022; pp. 142 - 145
A 240V to 47.5 V Fully Integrated Switched-Capacitor Converter in GaN Achieving 62.6% Efficiency at 220 mW/mm² Bram Veraverbeke, Tim Thielemans, Tuur Van Daele, and Filip Tavernier · Conference Proceeding · 2022 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME); 2022; pp. 249 - 252
Clock Recovery Circuit Using a Transmission Line as a Delay Element from a 100Gb/s bit stream Ibrahim Kazi, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2022 2022 20TH IEEE INTERREGIONAL NEWCAS CONFERENCE (NEWCAS); 2022; pp. 261 - 264
A Uniform Latency Model for DNN Accelerators with Diverse Architectures and Dataflows Linyan Mei, Huichu Liu, Tony Wu, H Ekin Sumbul, Marian Verhelst, and Edith Beigne · Conference Proceeding · 2022 Proceedings of the 2022 Design, Automation & Test in Europe (DATE 2022); 2022; pp. 220 - 225
Positive-Feedback-Based Design Technique for Inherently Stable Active Load Toward High-Gain Amplifiers With Unipolar a-IGZO TFT Devices Mohit Dandekar, Kris Myny, and Wim Dehaene · Journal Article · 2022 IEEE Solid-State Circuits Letters; 2022; Vol. 5; pp. 37 - 40
EffiCSense: an Architectural Pathfinding Framework for Energy-Constrained Sensor Applications Jonah Van Assche, Ruben Helsen, and Georges Gielen · Conference Proceeding · 2022 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE); 2022; pp. 136 - 141
SRAM with Stability Monitoring and Body Bias Tuning for Biomedical Applications Bob Vanhoof and Wim Dehaene · Journal Article · 2022 IEEE Solid State Circuits Letters; 2022; Vol. 5; pp. 29 - 32
A 420 GHz Dual-Tone Phase-Detection-Based Thickness Sensor in 40 nm CMOS Technology Dragan Simic and Patrick Reynaert · Conference Proceeding · 2022 2022 FIFTH INTERNATIONAL WORKSHOP ON MOBILE TERAHERTZ SYSTEMS (IWMTS); 2022; pp.
Discrete Samplers for Approximate Inference in Probabilistic Machine learning Shirui Zhao, Nimish Shah, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2022 Design, Automation and Test in Europe Conference and Exhibition (DATE), 2022; 2022; pp. 1221 - 1226
Fail-Safe Human Detection for Drones Using a Multi-Modal Curriculum Learning Approach Ali Safa, Tim Verbelen, Ilja Ocket, Andre Bourdoux, Francky Catthoor, and Georges GE Gielen · Journal Article · 2022 IEEE Robotics and Automation Letters; 2022; Vol. 7; iss. 1; pp. 303 - 310
A 10.4-ENOB 0.92-5.38 μW Event-Driven Level-Crossing ADC with Adaptive Clocking for Time-Sparse Edge Applications Jonah Van Assche and Georges Gielen · Conference Proceeding · 2022 ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC); 2022; pp. 261 - 264
A 135 GHz 32 Gb/s Direct-Digital Modulation 16-QAM Transmitter in 28 nm CMOS Carl D'heer and Patrick Reynaert · Conference Proceeding · 2022 ESSCIRC 2022 - IEEE 48th European Solid State Circuits Conference (ESSCIRC); 2022; pp. 481 - 484
A 135 GHz 24 Gb/s Direct-Digital Demodulation 16-QAM Receiver in 28 nm CMOS Carl D'heer and Patrick Reynaert · Conference Proceeding · 2022 ESSCIRC 2022 - IEEE 48th European Solid State Circuits Conference (ESSCIRC); 2022; pp. 485 - 488
A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide Thin-Film Technology using Hybrid DLL Locking Architecture Jonas Pelgrims, Kris Myny, and Wim Dehaene · Conference Proceeding · 2022 ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC); 2022; pp.
Recent Trends and Perspectives on Defect-Oriented Testing P Bernardi, R Cantoro, A Coyette, W Dobbeleare, M Fieback, A Floridia, G Gielen, J Gomez, M Grosso, AM Guerriero, I Guglielminetti, S Hamdioui, G Insinga, N Mautone, N Mirabella, S Sartoni, M Sonza Reorda, R Ullmann, R Vanhooren, N Xama, and L Wu · Conference Proceeding · 2022 2022 IEEE 28TH INTERNATIONAL SYMPOSIUM ON ON-LINE TESTING AND ROBUST SYSTEM DESIGN (IOLTS 2022); 2022; pp.
Low Power and High Speed Designs of CIC Filter for Sigma-Delta ADCs Zhikun He, Xinpeng Xing, Xinfa Zheng, Haigang Feng, Hongyan Fu, and Georges Gielen · Conference Proceeding · 2022 2022 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS, APCCAS; 2022; pp. 236 - 240
A servo-loop-free charge sharing technique to mitigate electrode offsets in biomedical multiplexed interfaces Marco Francesco Carlino and Georges Gielen · Conference Proceeding · 2022 2022 29TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (IEEE ICECS 2022); 2022; pp.
Event Camera Data Classification Using Spiking Networks with Spike-Timing-Dependent Plasticity Ali Safa, Ilja Ocket, Andre Bourdoux, Hichem Sahli, Francky Catthoor, and Georges GE Gielen · Conference Proceeding · 2022 2022 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS (IJCNN); 2022; pp.
Learning to Encode Vision on the Fly in Unknown Environments: A Continual Learning SLAM Approach for Drones Ali Safa, Tim Verbelen, Ilja Ocket, Andre Bourdoux, Hichem Sahli, Francky Catthoor, and Georges GE Gielen · Conference Proceeding · 2022 2022 IEEE INTERNATIONAL SYMPOSIUM ON SAFETY, SECURITY, AND RESCUE ROBOTICS (SSRR); 2022; pp. 373 - 378
Learn to Learn on Chip: Hardware-aware Meta-learning for Quantized Few-shot Learning at the Edge Nitish Satya Murthy, Peter Vrancx, Nathan Laubeuf, Peter Debacker, Francky Catthoor, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE/ACM 7TH SYMPOSIUM ON EDGE COMPUTING (SEC 2022); 2022; pp. 14 - 25
A D-Band mm-wave spectroscopy TX and RX in 28 nm CMOS with 15.6 dBm EIRP and 17.1 dB NF with integrated antennas Gabriel Guimaraes and Patrick Reynaert · Conference Proceeding · 2022 ESSCIRC 2022- IEEE 48TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC); 2022; pp. 457 - 460
CoNLoCNN: Exploiting Correlation and Non-Uniform Quantization for Energy-Efficient Low-precision Deep Convolutional Neural Networks Muhammad Abdullah Hanif, Giuseppe Maria Sarda, Alberto Marchisio, Guido Masera, Maurizio Martina, and Muhammad Shafique · Conference Proceeding · 2022 2022 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS (IJCNN); 2022; pp.
An 8-b 8-GS/s Time-Interleaved SAR ADC With Foreground Offset Calibration in 28nm CMOS Zhanpeng Yang, Xinpeng Xing, Xinfa Zheng, Haigang Feng, Hongyan Fu, and Georges Gielen · Conference Proceeding · 2022 2022 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS, APCCAS; 2022; pp. 181 - 184
Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop Guilherme Paim, Hussam Amrouch, Eduardo Antonio Cesar da Costa, Sergio Bampi, and Joerg Henkel · Journal Article · 2022 IEEE Transactions On Circuits And Systems For Video Technology; 2022; Vol. 32; iss. 1; pp. 398 - 410
Assessment of Transistor Aging Models in a 28nm CMOS Technology at a Wide Range of Stress Conditions D Sangani, J Diaz-Fortuny, E Bury, B Kaczer, and G Gielen · Conference Proceeding · 2022 2022 IEEE INTERNATIONAL INTEGRATED RELIABILITY WORKSHOP, IIRW; 2022; pp.
DPU-v2: Energy-efficient execution of irregular directed acyclic graphs Nimish Shah, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2022 2022 55TH ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO); 2022; pp. 1288 - 1307
Optical Communication in CMOS — Bringing New Opportunities to an Established Platform Wouter Diels and Filip Tavernier · Book Chapter · 2021 Analog Circuits for Machine Learning, Current/Voltage/Temperature Sensors, and High-speed Communication; 2021; pp. 305 - 329
Methodology for Readout and Ring Oscillator Optimization Toward Energy-Efficient VCO-Based ADCs Jonas Borgmans, Elisa Sacco, Pieter Rombouts, and Georges Gielen · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 69; iss. 3; pp. 985 - 998
A 420-GHz Sub-5-mu m Range Resolution TX-RX Phase Imaging System in 40-nm CMOS Technology Dragan Simic, Kaizhe Guo, and Patrick Reynaert · Journal Article · 2021 IEEE Journal Of Solid-State Circuits; 2021; Vol. 56; iss. 12; pp. 3827 - 3839
The Complementary FET (CFET) 6T-SRAM Mohit Kumar Gupta, Pieter Weckx, Pieter Schuddinck, Doyoung Jang, Bilal Chehab, Stefan Cosemans, Julien Ryckaert, and Wim Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 12; pp. 6106 - 6111
A Ka-Band Doherty-Like LMBA for High-Speed Wireless Communication in 28-nm CMOS Valdrin Qunaj and Patrick Reynaert · Journal Article · 2021 IEEE Journal Of Solid-State Circuits; 2021; Vol. 56; iss. 12; pp. 3694 - 3703
A 134 DB Dynamic Range Noise Shaping Slope Light-to-Digital Converter for Wearable Chest PPG Applications Qiuyang Lin, Shuang Song, Roland Van Wegberg, Wim Sijbers, Dwaipayan Biswas, Mario Konijnenburg, Chris Van Hoof, Filip Tavernier, and Nick Van Helleputte · Journal Article · 2021 IEEE Transactions On Biomedical Circuits And Systems; 2021; Vol. 15; iss. 6; pp. 1224 - 1235
Hardware-Efficient Residual Neural Network Execution in Line-Buffer Depth-First Processing Man Shi, Pouya Houshmand, Linyan Mei, and Marian Verhelst · Journal Article · 2021 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2021; Vol. 11; iss. 4; pp. 690 - 700
On the Use of Spiking Neural Networks for Ultralow-Power Radar Gesture Recognition Ali Safa, Andre Bourdoux, Ilja Ocket, Francky Catthoor, and Georges GE Gielen · Journal Article · 2021 IEEE Microwave And Wireless Components Letters; 2021; Vol. 32; iss. 3; pp. 222 - 225
The Process of Designing Integrated STEM Learning Materials: Case Study towards an Evidence-based Model Jolien De Meester, Mieke De Cock, Greet Langie, and Wim Dehaene · Journal Article · 2021 European Journal of STEM Education; 2021; Vol. 6; iss. 1
An NP Matrix-Based E-Band Power Amplifier Achieving 24-Gbit/s Data Rate Using 256 QAM in 22-nm FD-SOI Umut Celik and Patrick Reynaert · Journal Article · 2021 IEEE Transactions On Microwave Theory And Techniques; 2021; Vol. 69; iss. 11; pp. 4667 - 4677
ConvSNN: A surrogate gradient spiking neural framework for radar gesture recognition Ali Safa, Francky Catthoor, and Georges Gielen · Journal Article · 2021 Software Impacts; 2021; Vol. 10; pp.
A 670-GHz 4 x 2 Oscillator-Radiator Array Achieving 7.4-dBm EIRP in 40-nm CMOS Gabriel Guimaraes and Patrick Reynaert · Journal Article · 2021 IEEE Journal Of Solid-State Circuits; 2021; Vol. 56; iss. 11; pp. 3399 - 3411
Efficient Execution of Temporal Convolutional Networks for Embedded Keyword Spotting JSP Giraldo, Vikram Jain, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2021; Vol. 29; iss. 12; pp. 1 - 9
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV2f Power Consumption Jonas Pelgrims, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 1 - 4
A 96-channel 40nm CMOS Fully-Integrated Potentiostat for Electrochemical Monitoring Peishuo Li, Tom Molderez, and Marian Verhelst · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 167 - 170
Fixed-Point NLMS and IPNLMS VLSI Architectures for Accurate FECG and FHR Processing Patricia Ucker da Costa, Guilherme Paim, Leandro Mateus Giacomini Rocha, Eduardo Antonio Cesar da Costa, Sergio Jose Melo de Almeida, and Sergio Bampi · Journal Article · 2021 IEEE Transactions On Biomedical Circuits And Systems; 2021; Vol. 15; iss. 5; pp. 898 - 911
Millimeter-wave Circuits in Nanoscale CMOS Bart Philippe · Dissertation · 2021
Microfluidic device for all electric single microbead capturing and releasing by positive dielectrophoresis Ruben Van den Eeckhoudt, Guo Yuting, Filip Tavernier, Irene Taurino, Nico Boon, and Michael Kraft · Other · 2021
A Compact, Low-Power Analog Front-End with Event-Driven Input Biasing for High-Density Neural Recording in 22-nm FDSOI Xiaohua Huang, Marco Ballini, Shiwei Wang, Beatrice Miccoli, Chris Van Hoof, Georges Gielen, Jan Craninckx, Nick Van Helleputte, and Carolina Lopez · Journal Article · 2021 IEEE Transactions On Circuits And Systems Ii-Express Briefs; 2021; Vol. 69; iss. 3; pp. 1 - 5
Embedded ML for Efficient Keyword Spotting Juan Sebastian Piedrahita Giraldo · Dissertation · 2021
Going Towards High-Resolution, Uniform AMOLED Displays with a High Brightness Range Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Anastasia Glushkova, Manoj Nag, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemeijer, Paul Heremans, Jan Genoe, Wim Dehaene, and Kris Myny · Journal Article · 2021 SID Symposium Digest of Technical Papers; 2021; Vol. 52; iss. S2; pp. 549 - 552
Analysis and Comparison of Readout Architectures and Analog-to-Digital Converters for 3D-Stacked CMOS Image Sensors Nicolas Callens and Georges GE Gielen · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 8; pp. 3117 - 3130
A Comprehensive Study of Nanosheet and Forksheet SRAM for Beyond N5 Node Mohit Kumar Gupta, Pieter Weckx, Pieter Schuddinck, Doyoung Jang, Bilal Chehab, Stefan Cosemans, Julien Ryckaert, and Wim Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 8; pp. 3819 - 3825
ZigZag: Enlarging Joint Architecture-Mapping Design Space Exploration for DNN Accelerators Linyan Mei, Pouya Houshmand, Vikram Jain, Sebastian Giraldo, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Computers; 2021; Vol. 70; iss. 8; pp. 1160 - 1174
DepFiN: A 12nm, 3.8TOPs depth-first CNN processor for high res. image processing Koen Goetschalckx and Marian Verhelst · Conference Proceeding · 2021 2021 Symposium on VLSI Circuits; 2021; pp. 1 - 2
Exploring NLMS-Based Adaptive Filter Hardware Architectures for Eliminating Power Line Interference in EEG Signals Andrei B La Rosa, Pedro TL Pereira, Patricia Ucker, Guilherme Paim, Eduardo AC da Costa, Sergio Bampi, and Sergio Almeida · Journal Article · 2021 Circuits Systems And Signal Processing; 2021; Vol. 40; iss. 7; pp. 3305 - 3337
Architectural Exploration for Energy-Efficient Fixed-Point Kalman Filter VLSI Design Pedro Taua Lopes Pereira, Guilherme Paim, Patricia Ucker Leleu da Costa, Eduardo Antonio Cesar da Costa, Sergio Jose Melo de Almeida, and Sergio Bampi · Journal Article · 2021 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2021; Vol. 29; iss. 7; pp. 1402 - 1415
Complementary Photo-Synapses Based on Light-Stimulated Porphyrin-Coated Silicon Nanowires Field-Effect Transistors (LPSNFET) Xiaokang Li, Bocheng Yu, Bowen Wang, Ran Bi, Haixia Li, Kun Tu, Gong Chen, Zhihong Li, Ru Huang, and Ming Li · Journal Article · 2021 Small; 2021; Vol. 17; iss. 30; pp.