Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
A high speed, low voltage to high voltage level shifter in standard 1.2 V 0.13 mu m CMOS Bert Serneels, Michiel Steyaert, and Wim Dehaene · Journal Article · 2008 Analog integrated circuits and signal processing ; 2008; Vol. 55; iss. 1; pp. 85 - 91
Measurements of an EMC test chip for lower EME in CMOS digital ICs Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 EMC Europe, International Symposia on EMC; 2008; pp. 543 - 548
Litho variations and their impact on the electrical yield of a 32nm node 6TSRAM cell design for manufacturability through design-proces integration II Staf Verhaegen, Stefan Cosemans, Dusa Mircea, Pol Marchal, Axel Nackaerts, Geert Vandenberghe, Wim Dehaene, Vivek Singh, and Michael Rieger · Conference Proceeding · 2008 SPIE 2008 ; 2008; Vol. 6925; pp. 6925 - ...
Litho variations and their impact on the electrical yield of a 32nm node 6T SRAM cell Staf Verhaegen, Stefan Cosemans, Mircea Dusa, Pol Marchal, Axel Nackaerts, Geert Vandenberghe, and Wim Dehaene · Conference Proceeding · 2008 DESIGN FOR MANUFACTURABILITY THROUGH DESIGN-PROCESS INTEGRATION II; 2008; Vol. 6925; pp.
Energy efficiency of the IEEE 802.15.4 standard in dense wireless microsensor networks: modeling and improvement perspectives Bruno Bougard, Francky Catthoor, Denis Daly, Anantha Chandrakasan, and Wim Dehaene · Book Chapter · 2008 Design, Automation, and Test in Europe: The Most Influential Papers of 10 Years Date; 2008; pp. 221 - 234
Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design Antonis Papanikolaou, Hua Wang, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2008 VLSI-SOC: RESEARCH TRENDS IN VLSI AND SYSTEMS ON CHIP; 2008; Vol. 249; pp. 119 - 141
High voltage xDSL line drivers in nanometer technologies Bert Serneels, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2008 ANALOG CIRCUIT DESIGN; 2008; pp. 179 - 203
Evaluation of power supply noise in CMOS and low noise logic cells Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 2008 ASIA-PACIFIC SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY AND 19TH INTERNATIONAL ZURICH SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY, VOLS 1 AND 2; 2008; pp. 12 - 15
valuation of Power Supply Noise in CMOS and Low Noise Logic Cells Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 Asia-Pacific Symposium on Electromagnetic Compatibility and 19th International Zurich Symposium on Electromagnetic Compatibility, 2008 ; 2008; pp. 12 - 15
A dual port dual width 90nm SRAM with guaranteed data retention at minimal standby supply voltage Peter Geens and Wim Dehaene · Conference Proceeding · 2008 Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European ; 2008; pp. 290 - 293
3D stacked IC demonstration using a through silicon via first approach Jan Van Olmen, Abdelkarim Mercha, Guruprasad Katti, Cedric Huyghebaert, Joke Van Aelst, Emma Seppala, Chao Zhao, Silvia Armini, Jan Vaes, Ricardo Cotrin Teixeira, Marc Van Cauwenberghe, Patrick Verdonck, Koen Verhemeldonck, Anne Jourdain, Wouter Ruythooren, Muriel de Potter de ten Broeck, Ann Opdebeeck, Thomas Chiarella, Bertrand Parvais, Ingrid Debusschere, Thomas Y Hoffmann, Bart De Wachter, Wim Dehaene, Michele Stucchi, Michal Rakowski, Philippe Soussan, Rudi Cartuyvels, Eric Beyne, Serge Biesemans, and Bart Swinnen · Conference Proceeding · 2008 Technical Digest International Electron Devices Meeting - IEDM ; 2008; pp. 603 - 606
A 3.6pJ/access 480MHz, 128Kbit on-chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability Stefan Cosemans, Wim Dehaene, and Francky Catthoor · Conference Proceeding · 2008 Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European ; 2008; pp. 278 - 281
A low-power mixing DAC IR-UWB receiver Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, B Boury, Wim Dehaene, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 2697 - 2700
Impact of Random Soft Oxide Breakdown on SRAM Energy/Delay Drift Wang Hua, M Miranda, Franky Catthoor, and Wim Dehaene · Journal Article · 2007 IEEE Transactions on Device and Materials Reliability ; 2007; Vol. 7; iss. 4; pp. 581 - 591
a CMOS ultra-wideband receiver for low data-rate communication J Ryckaert, Marian Verhelst, M Badaroglu, S D' Amico, V De Heyn, C Desset, P Nuzzo, B Van Poucke, P Wambacq, A Basschiroto, Wim Dehaene, and G Vanderplas · Journal Article · 2007 IEEE Journal of Solid-State Circuits ; 2007; Vol. 42; iss. 11; pp. 2515 - 2527
A flexible, ultra-low power 35pJ/pulse digital back-end for a QAC UWB receiver Marian Verhelst and Wim Dehaene · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC) ; 2007; pp. 236 - 239
A low-power embedded SRAM for wireless applications Stefan Cosemans, Wim Dehaene, and Francky Catthoor · Journal Article · 2007 IEEE Journal Of Solid-State Circuits; 2007; Vol. 42; iss. 7; pp. 1607 - 1617
A variability tolerant embedded SRAM offering runtime selectable energy/delay figures Hua Wang, Miguel Miranda Corbalan, Peter Geens, Wim Dehaene, and Francky Catthoor · Conference Proceeding · 2007 2nd International Conference on Memory Techology and Design ; 2007; pp. 173 - 176
A designer’s perspective on future memory architectures for software defined radios Pol Marchal, Bruno Bougard, Antonis Papanikolaou, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2007
Technology-aware design of SRAM memory circuits Evelyn Grossar · Dissertation · 2007
Architectures and circuits for software defined radios: scaling and scalability for low cost and low energy Liesbet Van der Perre, Bruno Bougard, Jan Craninckx, Wim Dehaene, Lieven Hollevoet, Murali Jayapala, Pol Marchal, Miguel Miranda Corbalan, Praveen Raghavan, Thomas Schuster, Piet Wambacq, Francky Catthoor, and Peter Vanbekbergen · Conference Proceeding · 2007 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2007; pp. 568 - 569
a 237 mW adsl2+ CO line driver in standard 1.2V 0.35 um CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2007 Digest of technical papers - IEEE International Solid-State Circuits Conference; 2007; pp. 524 - 619
Synchronization for Subsampling Digital UWB Receiver: a Holistic Approach Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2007 IEEE International Conference on Ultra-Wideband (ICUWB); 2007; pp. 828 - 833
Embedded SRAM design in deep deep submicron technologies Wim Dehaene, Stefan Cosemans, Anselme Vignon, Francky Catthoor, and Peter Geens · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC); 2007; pp. 384 - 391
System design for ultra-low-power UWB-based indoor localization Zheng Li, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2007 IEEE international conference on Ultra-Wideband; 2007; pp. 580 - 585
Design of an on-chip EMI-suppressing regulator for automotive electronics applications Wim Dehaene and Junfeng Zhou · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO); 2007
A Noise-Margin Monitor for SRAMs Peter Geens and Wim Dehaene · Conference Proceeding · 2007 International Conference on Memory Technology and Design; 2007
A fully integrated low EMI noise power supply technique for CMOS digital ICs in automotive applications Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC); 2007; pp. 264 - 267
A 3-tier UWB-based indoor localization scheme for ultra-low-power sensor nodes Zheng Li, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2007 IEEE International Conference on Signal Processing and Communications; 2007; pp. 995 - 998
Read stability and write-ability analysis of SRAM cells for nanometer technologies Evelyn Grossar, Michele Stucchi, Karen Maex, and Wim Dehaene · Journal Article · 2006 IEEE Journal of Solid-State Circuits; 2006; Vol. 41; iss. 11; pp. 2577 - 2588
EsatExpress: samen leren ontwerpen Wim Dehaene, Geert Deconinck, Johan Driesen, Georges Gielen, Bart Nauwelaers, Marc Moonen, Chris Van Hoof, and Patrick Wambacq · Conference Proceeding · 2006 Proceedings of Associatie K.U.Leuven Dag van de Docent ; 2006; pp. 1 - ...
Smartmimo: energy-aware adaptive mimo-ofdm radio link control for wireless local area networks Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Journal Article · 2006 Eurasip Journal On Wireless Communications And Networking; 2006; Vol. 2007; pp.
System design of an IEEE 802.16.4a-compilant, merged smalband/ultra-wideband.radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB) ; 2006; pp. 179 - 184
System design of an IEEE 802.15.4a-compliant, merged smallband/ultra-wideband radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 2006 IEEE International Conference on Ultra-Wideband, Vols 1 and 2 ; 2006; pp. 243 - 248
Power-performance exploration for a low rate pulsed UWB receiver Nick Van Helleputte, Marian Verhelst, Wim Vereecken, Wim Dehaene, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2006 RFIC; 2006
Complex analog correlating pulsed UWB-receiver in realistic 0-1GHz channels Marian Verhelst and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Wireless Broadband and Ultra-Wideband Communications; 2006; pp. 27 - 32
Statistically aware SRAM memory array design Evelyn Grossar, Michele Stucchi, Karen Maex, and Wim Dehaene · Conference Proceeding · 2006 ISQED 2006: PROCEEDINGS OF THE 7TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN; 2006; pp. 25 - +
UML and Model-Driven Development for SoC W Mueller, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 Design Automation and Test in Europe (DATE); 2006; pp. 1 - 1
A Flexible Low Power Subsampling UWB Receiver Based on Line Spectrum Estimation Method Yves Vanderperren, Wim Dehaene, and G Leus · Conference Proceeding · 2006 IEEE International Conference on Communications (ICC); 2006; Vol. 10; pp. 4694 - 4699
On the combined impact of soft mediom gate oxide breakdown and process variablitiy on the parametric figures of SRAM components W Hua, M Miranda, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 International Workshop on Memory Technology, Design and Testing; 2006
On the combined impact of soft and medium gate oxide breakdown and device dopant fluctuation on the parametric figures of SRAM components Hua Wang, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 MTDT'06: 2006 IEEE INTERNATIONAL WORKSHOP ON MEMORY TECHNOLOGY, DESIGN, AND TESTING, PROCEEDINGS; 2006; Vol. 2006; pp. 71 - 76
SmartMIMO: Energy-aware adaptive MIMO-OFDM radio link control for wireless local area networks Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 2006 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS DESIGN AND IMPLEMENTATION; 2006; pp. 399 - 404
A High Speed, Low Voltage to High Voltage Level Shifter in Standard 1.2V 130nm CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2006 International Conference on Electronic Circuits and Systems (ICECS) ; 2006; pp. 668 - 671
An approach for specifying the ADC and AGC requirements for UWB digital receivers Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2006 IEE Seminar on ultra wideband systems, technologies and applications; 2006; Vol. 2006; iss. 11371; pp. 196 - 200
Orthogonal multicode channelization applied to subsampling digital UWB receiver Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB); 2006; pp. 143 - +
From UML/SysML to Matlab/Simulink: current state and future perspectives Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2006 Design Automation and Test in Europe (DATE); 2006; Vol. 1; pp. 91 - 91
UML for ESL Design - Basic Principles, Tools, and Applications W Mueller, A Rosti, S Bocchio, Wim Dehaene, and Yves Vanderperren · Conference Proceeding · 2006 International Conference on Computer Aided Design (ICCAD); 2006; pp. 241 - +
Semiconductor process variability: consequences and solutions Wim Dehaene · Conference Proceeding · 2006 ProRisc; 2006
A low power embedded SRAM for wireless applications Stefan Cosemans, Wim Dehaene, and Francky Catthoor · Conference Proceeding · 2006 ESSCIRC 2006: PROCEEDINGS OF THE 32ND EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2006; pp. 291 - +
Cross-layer power management in wireless networks and consequences on system-level architecture Bruno Bougard, Sofie Pollin, Francky Catthoor, and Wim Dehaene · Journal Article · 2006 Signal Processing ; 2006; Vol. 86; iss. 8; pp. 1792 - 1803
Design of an energy-efficient pulsed UWB receiver Marian Verhelst, Wim Vereecken, Nick Van Helleputte, Georges Gielen, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2006 ANALOG CIRCUIT DESIGN; 2006; pp. 303 - 330
A small granular controlled leakage reduction system for SRAMs Peter Geens and Wim Dehaene · Journal Article · 2005 Solid-state electronics ; 2005; Vol. 49; iss. 11; pp. 1776 - 1782
Variable tapered pareto buffer design and implementation allowing run-time configuration for low power embedded SRAMs Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, and Wim Dehaene · Journal Article · 2005 IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; 2005; Vol. 13; iss. 10; pp. 1127 - 1135
System design of an ultra-low power, low data rate, pulsed UWB receiver in the 0-960 MHz band Marian Verhelst and Wim Dehaene · Conference Proceeding · 2005 International Conference on Communications; 2005; Vol. 4; pp. 2812 - 2817
A high-voltage output driver in a 2.5-v 0.25-mu m CMOS technology Bert Serneels, T Piessens, Michel Steyaert, and Wim Dehaene · Journal Article · 2005 IEEE Journal of Solid-State Circuits ; 2005; Vol. 40; iss. 3; pp. 576 - 583
Systematic analysis of energy and delay impact of very deep submicron process variability effects in embedded SRAM modules Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, and Karen Maex · Conference Proceeding · 2005 DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS; 2005; Vol. II; pp. 914 - 919
Energy efficiency of the IEEE 802.15.4 standard in dense wireless microsensor networks: modeling and improvement perspectives Bruno Bougard, Francky Catthoor, Denis C Daly, Anantha Chandrakasan, and Wim Dehaene · Conference Proceeding · 2005 DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS; 2005; Vol. I; pp. 196 - 201
System design of an ultra-low power, low data rate, pulsed UWB receiver in the 0-960MHz band M Verhelst and Wim Dehaene · Conference Proceeding · 2005 Icc 2005: ieee international conference on communications, vols 1-5 ; 2005; pp. 2812 - 2817
A model-driven development process for low power SoC using UML Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2005 UML for SOC Design; 2005; pp. 223 - 252
UML 2 and SysML : an approach for dealing with complexity in SoC/NoC design Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2005 Design Automation and Test in Europe; 2005; Vol. II; pp. 716 - 717
A small granular controlled leakage current reduction system for SRAMs Peter Geens and Wim Dehaene · Other · 2005
Energy efficient memory architecture for high speed decoding of block turbo-codes with the Fang-Buda algorithm Bruno Bougard, Markus Rullmann, Erik Brockmeyer, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Journal Article · 2005 Journal of VLSI Signal Processing ; 2005; Vol. 39; iss. 01; pp. 79 - 92
A 5.5 V SOPA line driver in a standard 1.2 V 0.13 um CMOS technology Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2005 European Solid-State Circuits Conference ; 2005; pp. 303 - 306
High voltage design techniques in a standard CMOS technology Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2005 IEE International Conference on ADDA; 2005
A yield-aware modeling methodology for nano-scaled SRAM designs Evelyn Grossar, Jeroen Croon, Michele Stucchi, Wim Dehaene, and Karen Maex · Conference Proceeding · 2005 2005 INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY; 2005; pp. 33 - 36
Analog and digital circuit design in 65 nm CMOS : end of the road ? Georges Gielen and Wim Dehaene · Conference Proceeding · 2005 Design Automation and Test in Europe ; 2005; pp. 37 - 42
The SysML Profile for Embedded System Modelling Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2005 Forum on specification and Design Languages (FDL); 2005; pp. 589 - 598
Comparison of logic families for improved EMC behavior of mixed signal Ics Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2005 International Symposium on Electromagnetic Compatibility; 2005
SysML and systems engineering applied to UML-based SoC design - Keynote paper Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2005 UML-SoC Workshop; 2005
Technology aware design and design technology Karen Maex, Michele Stucchi, Mandeep Bamal, Evelyn Grossar, Wim Dehaene, Antonis Papanikolaou, Miguel Miranda Corbalan, and Francky Catthoor · Conference Proceeding · 2005 2005 INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY; 2005; pp. 77 - 81
The PeopleMover educational project: design, simulation and implementation of a "real" control system application Steven Bex, Simon Doclo, Geert Ysebaert, Georges Gielen, Wim Dehaene, Hugo De Man, and Bart De Moor · Journal Article · 2004 IEEE Control Systems Magazine; 2004; Vol. 24; iss. 5; pp. 83 - 87
Energy-aware radio link control for OFDM-based WLAN Bruno Bougard, Sofie Pollin, Gregory Lenoir, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2004 2004 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS DESIGN AND IMPLEMENTATION, PROCEEDINGS; 2004; pp. 18 - 23
Transport level performance-energy trade-off in broadband wireless networks and consequences on the system-level architecture and design paradigm Bruno Bougard, Sofie Pollin, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene, and Gregory Lenoir · Conference Proceeding · 2004 2004 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS DESIGN AND IMPLEMENTATION, PROCEEDINGS; 2004; pp. 77 - 82
A 14 bit 130 MHz CMOS current-steering DAC with adjustable INL Tao Chen, Peter Geens, Geert Van der Plas, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2004 ESSCIRC 2004: PROCEEDINGS OF THE 30TH EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2004; pp. 167 - 170
Architectures for Low Power Ultra-Wideband Radio Receivers in the 3.1-5.0GHz Band for Data Rates < / 10Mbps Marian Verhelst, Wim Vereecken, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2004 International Symposium on Low Power Electronics and Design ; 2004; pp. 280 - 285
Energy-scalability enhancement of wireless local area network transceivers Bruno Bougard, Sofie Pollin, Gregory Lenoir, Wolfgang Eberle, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2004 IEEE Workshop on Signal Processing Advances in Wireless Communications, SPAWC; 2004; pp. 449 - 453
A high-voltage output driver in a standard 2.5V 0.25um CMOS technology Bert Serneels, Tim Piessens, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2004 IEEE Journal of Solid-State Circuits ; 2004; Vol. 47; pp. 146 - 147
2004 IEEE 5th workshop on signal processing advances in wireless communications - Energy-scalability enhancement of wireless local area network transceivers B Bougard, S Pollin, G Lenoir, W Eberle, L Van der Perre, F Catthoor, and W Dehaene · Conference Proceeding · 2004 2004 IEEE 5TH WORKSHOP ON SIGNAL PROCESSING ADVANCES IN WIRELESS COMMUNICATIONS; 2004; pp. 449 - 453
Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < / 10Mbps M Verhelst, Wim Vereecken, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2004 Islped '04: proceedings of the 2004 international symposium on low power electronics and design ; 2004; Vol. 2004-January; iss. January; pp. 280 - 285
A new approach to dynamically trade off performance and energy consumption in wireless communication systems Bruno Bougard, Gregory Lenoir, Wolfgang Eberle, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2003 SIPS 2003: IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS; 2003; Vol. 2003-January; pp. 298 - 303
A Method for the Development of Combined Floating- and Fixed Point SystemC Models Yves Vanderperren, Wim Dehaene, and Marc Pauwels · Conference Proceeding · 2003 SYSTEM SPECIFICATION AND DESIGN LANGUAGES; 2003; pp. 231 - 242
A SystemC Based System on Chip Modelling and Design Methodology Yves Vanderperren, Marc Pauwels, Wim Dehaene, Ates Berna, and Fatma Özdemir · Book Chapter · 2003 SystemC: Methodologies and Applications; 2003
A Design Methodology for the Development of a complex, System-on-Chip Using UML and executable System Models M Pauwels, Y Vanderperren, G Sonck, P van Oostende, Wim Dehaene, and T Moore · Book Chapter · 2003 System Specification and Design Languages; 2003
A Design Methodology for the Development of a Complex System-on-Chip using UML and Executable System Models, Yves Vanderperren, Geert Sonck, Paul Van Oostende, Marc Pauwels, Wim Dehaene, and Trevor Moore · Conference Proceeding · 2003 SYSTEM SPECIFICATION AND DESIGN LANGUAGES; 2003; pp. 129 - 141
A 50-MHz standard CMOS pulse equalizer for hard disk read channels Wim Dehaene, MSJ Steyaert, and Willy Sansen · Journal Article · 1997 IEEE Journal Of Solid-State Circuits; 1997; Vol. 32; iss. 7; pp. 977 - 988
A 50 MHz, standard CMOS, pulse equalizer for hard disk read channels Wim Dehaene, Michel Steyaert, and Willy Sansen · Conference Proceeding · 1996 European Solid-State Circuits Conference ; 1996; pp. 52 - 55
A cmos rectifier-integrator for amplitude detection in hard-disk servo loops Msj Steyaert, Wim Dehaene, J Craninckx, M Walsh, and P Real · Journal Article · 1995 IEEE Journal of Solid-State Circuits; 1995; Vol. 30; iss. 7; pp. 743 - 751
A monolithic all-CMOS embedded servo channel for hard disk drives M Walsh, D Dalton, P Griffin, P Quinlan, P Real, J Spalding, K Deevy, Jan Craninckx, Wim Dehaene, and Michel Steyaert · Conference Proceeding · 1995 European Solid-State Circuits Conference ; 1995; pp. 98 - 101
A 100 MHz highly accurate CMOS zero-phase detector for timing recovery systems Wim Dehaene, Michel Steyaert, and Willy Sansen · Conference Proceeding · 1995 European Solid-State Circuits Conference ; 1995; pp. 258 - 261
A CMOS rectifier-integrator for amplitude detection in hard disk servo loops Wim Dehaene, Jan Craninckx, Michel Steyaert, M Walsh, and P Real · Conference Proceeding · 1994 European Solid-State Circuits Conference ; 1994; pp. 116 - 119
Alternative peak detection system for high-speed amplitude measurement in EIT systems Willy Sansen, Wim Dehaene, Jan Craninckx, and Michel Steyaert · Journal Article · 1994 Innovation and Technology in Biology and Medicine ; 1994; pp. 104 - 108
Full cmos continuous-time filters for gsm applications Msj Steyaert, S Gogaert, Wim Dehaene, J Silvamartinez, and J Sevenhans · Journal Article · 1993 Annales des telecommunications-annals of telecommunications ; 1993; Vol. 48; iss. 3; pp. 224 - 232
Voltage versus current driven high frequency EIT Sytems Ben Geeraerts, Wim Van Petegem, Wim Dehaene, Michel Steyaert, and Willy Sansen · Conference Proceeding · 1992 IEEE Engineering In Medicine and Biology Society (EMBS) ; 1992; Vol. 14; pp. 1703 - 1704