Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
High Resolution Time-of-Arrival for a cm-precise super 10 meter 802.15.3C-based 60 GHz OFDM Positioning Application Tom Redant and Wim Dehaene · Conference Proceeding · 2012 PECCS 2012 2nd International Conference on Pervasive and Embedded Computing and Communication Systems ; 2012; Vol. 2; pp. 271 - 277
Bidirectional Communication in an HF Hybrid Organic/Solution-Processed Metal-Oxide RFID Tag Kris Myny, Maarten Rockelé, Adrian Nelson Vaisman Chasin, D-V Pham, J Steiger, S Botnaras, D Weber, B Herold, J Ficker, B Van der Putten, G Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2012 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) ; 2012; Vol. 55; pp. 312 - 313
Fully Integrated CMOS EME-Suppressing Current Regulator for Automotive Electronics Junfeng Zhou and Wim Dehaene · Journal Article · 2012 IEEE Transactions On Circuits And Systems I-Regular Papers; 2012; Vol. 59; iss. 2; pp. 266 - 275
Induced Variability of Cell-to-Cell Interference by Line Edge Roughness in NAND Flash Arrays Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Miranda Corbalan, Roel Gronheid, Diederik Verkest, Jan Van Houdt, and Wim Dehaene · Journal Article · 2012 IEEE Electron Device Letters ; 2012; Vol. 33; iss. 2; pp. 164 - 166
Hervormingen in het secundair onderwijs Bart Pattyn, Hilde Colpin, Mieke De Cock, Bieke De Fraine, Wim Dehaene, Steven Groenez, Bregt Henkens, Ides Nicaise, Jan Masschelein, Erwin Ooghe, Bart Raymaekers, Maarten Simons, Jan Van Damme, and Karine Verschueren · Text Resource · 2012 Metaforum visietekst 7 ; 2012; pp. 1 - 48
Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applications Paramartha Indirayanti, Wouter Volkaerts, Wim Dehaene, and Patrick Reynaert · Conference Proceeding · 2012 Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applications ; 2012; pp. 885 - 888
Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM Vibhu Sharma, Stefan Cosemans, M Ashouei, J Huisken, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2012 DESIGN, AUTOMATION & TEST IN EUROPE ; 2012; Vol. 2012; pp. 1042 - 1047
A Low Leakage 500 MHz 2T Embedded Dynamic Memory With Integrated Semi-transparent Refresh Anselme Vignon, Stefan Cosemans, Karen Maex, and Wim Dehaene · Journal Article · 2012 Solid-State Electronics ; 2012; Vol. 75; pp. 55 - 62
Leakage current mechanisms and estimation in memories and logic Ashoka Sathanur, Praveen Raghavan, Stefan Cosemans, and Wim Dehaene · Book Chapter · 2012 Energy-Aware Memory Management for Embedded Multimedia Systems: A Computer-Aided Design Approach ; 2012; pp. 239 - 256
Design Methodologies for Organic RFID Tags and Sensor Readout on Foil Kris Myny, Hagen Marien, Soeren Steudel, Peter Vicca, MJ Beenhakkers, NAJM van Aerle, GH Gelinck, Jan Genoe, Wim Dehaene, Michiel Steyaert, Paul Heremans, and Eugenio Cantatore · Book Chapter · 2012 Organic Electronics II: More Materials and Applications ; 2012; pp. 387 - 411
Ultra low energy SRAM design for smart ubiquitous sensors Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, and Wim Dehaene · Journal Article · 2012 IEEE Micro ; 2012; Vol. 32; iss. 5; pp. 10 - 24
Linking EUV lithography line edge roughness and 16 nm NAND memory performance Alessandro Vaglio Pret, Pavel Poliakov, Roel Gronheid, Pieter Blomme, Miguel Miranda Corbalan, Wim Dehaene, Diederik Verkest, Jan Van Houdt, and Davide Bianchi · Journal Article · 2012 Microelectronic Engineering ; 2012; Vol. 98; iss. 10; pp. 24 - 28
Spacer-defined EUV lithography reducing variability of 12nm NAND Flash memories Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Miranda Corbalan, Roel Gronheid, Vincent Wiaux, Janko Versluijs, Diederik Verkest, Jan Van Houdt, and Wim Dehaene · Conference Proceeding · 2012 4th IEEE International Memory Workshop - IMW ; 2012; pp. 33 - 36
Extending UML for Electronic Systems Design: A Code Generation Perspective Yves Vanderperren, Wolfgang Mueller, Da He, Fabian Mischkalla, and Wim Dehaene · Book Chapter · 2012 DESIGN TECHNOLOGY FOR HETEROGENEOUS EMBEDDED SYSTEMS; 2012; Vol. 9789400711259; pp. 13 - +
Leakage control in SoCs Praveen Raghavan, Ashoka Sathanur, Stefan Cosemans, and Wim Dehaene · Book Chapter · 2012 Energy-Aware Memory Management for Embedded Multimedia Systems: A Computer-Aided Design Approach ; 2012; pp. 257 - 280
SRAM Design for Wireless Sensor Networks Vibhu Sharma, Francky Catthoor, and Wim Dehaene · Book · 2012
Test structures for characterization of through-silicon vias Michele Stucchi, Daniel Perry, Guruprasad Katti, Wim Dehaene, and Dimitrios Velenis · Journal Article · 2012 IEEE Transactions on Semiconductor Manufacturing; 2012; Vol. 25; iss. 3; pp. 355 - 364
An 8-Bit, 40-Instructions-Per-Second Organic Microprocessor on Plastic Foil Kris Myny, Erik van Veenendaal, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2012 IEEE Journal of Solid-State Circuits ; 2012; Vol. 47; iss. 1; pp. 284 - 291
A Novel, PLL-based frequency-to-digital conversion mechanism for sensor interfaces Hans Danneels, Francois Piette, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Journal Article · 2011 Sensors and Actuators A, Physical ; 2011; Vol. 172; iss. 1; pp. 220 - 227
A 190mV supply, 10MHz, 90nm CMOS, Pipelined Sub-Threshold Adder using Variation-Resilient Circuit Techniques Nele Reynders and Wim Dehaene · Conference Proceeding · 2011 Proceedings of IEEE Asian Solid-State Circuits Conference ; 2011; pp. 113 - 116
A 0.6V to 1.6V, 46uW Voltage and Temperature Independent 48 MHz Pulsed LC Oscillator for RFID Tags Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2011 Solid-State Circuits Conference, 2011. ASSCC2011. IEEE Asian; 2011; pp. 109 - 112
A low power Time-of-Arrival ranging front end based on a 8-channel 2.2 mW, 53 ps single-shot-precision Time-toDigital Converter Tom Redant, Frederic Stubbe, and Wim Dehaene · Conference Proceeding · 2011 2011 Proceedings of Technical Papers IEEE Asian Solid-State Circuits Conference 2011 (A-SSCC 2011); 2011; pp. 321 - 324
: A 4.4pJ/Access 80MHz, 128kbit Variability Resilient SRAM with Multi-Sized Sense Amplifier Redundancy Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, and Wim Dehaene · Journal Article · 2011 IEEE Journal of Solid-State Circuits; 2011; Vol. 46; iss. 10; pp. 2416 - 2430
Circuit design in organic semiconductor technologies Paul Heremans, Wim Dehaene, Michiel Steyaert, Kris Myny, Hagen Marien, Jan Genoe, and Gerwin Gelinck · Conference Proceeding · 2011 ESSDERC 2011 - 41st European Solid State Device Research Conference ; 2011; pp. 5 - 12
A low leakage 500MHz 2T embedded dynamic memory with integrated semi-transparent refresh Anselme Vignon, Stefan Cosemans, and Wim Dehaene · Journal Article · 2011 european solid state circuits conference; 2011; Vol. 75; pp. 55 - 62
Deep Submicron Aspects of Standard CMOS Ultra Low Power Design Nele Reynders and Wim Dehaene · Other · 2011 Proceedings of IEEE European Solid-State Circuits Conference; 2011
8T SRAM with Mimicked Negative Bit-Lines and Charge Limited Sequential Sense Amplifier for Wireless Sensor Nodes Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2011 IEEE ESSCIRC ; 2011; pp. 531 - 534
A Fully Digital Delay-Line Based GHz-Range Multimode Transmitter Front-End in 65-nm CMOS Pieter AJ Nuyts, Peter Singerl, Franz Dielacher, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2011 Proc. IEEE European Solid-State Circuits Conference (ESSCIRC) ; 2011; pp. 395 - 398
Digital-circuit analysis of short gate Tunnel-FETs for low-voltage applications Jing Zhuge, Anne Verhulst, William Vandenberghe, Wim Dehaene, R Huang, Y Wang, and Guido Groeseneken · Journal Article · 2011 Semiconductor Science and Technology ; 2011; Vol. 26; iss. 8; pp.
Technology assessment of through-silicon via by using C-V and C-t Measurements Guruprasad Katti, Michele Stucchi, Dimitrios Velenis, Sarasvathi Thangaraju, Kristin De Meyer, Wim Dehaene, and Eric Beyne · Journal Article · 2011 IEEE Electron Device Letters ; 2011; Vol. 32; iss. 7; pp. 946 - 948
Bridging lithography processes with NAND flash ECC complexity Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Miranda Corbalan, Jan Van Houdt, and Wim Dehaene · Journal Article · 2011 2011 3rd IEEE International Memory Workshop, IMW 2011; 2011
Impact of EUV lithography line edge roughness on 16 nm memory generation cultureman Vaglio Pret, Pavel Poliakov, Davide Bianchi, Roel Gronheid, Pieter Blomme, Miguel Miranda Corbalan, Jan Van Houdt, and Wim Dehaene · Other · 2011
Multiple Event Time-to-Digital Conversion-Based Pulse Digitization for a 250 MHz Pulse Radio Ranging Application Tom Redant, Jorg Daniels, Michiel Steyaert, and Wim Dehaene · Journal Article · 2011 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2011; Vol. 58; iss. 11; pp. 2614 - 2622
Cross-cell Interference Variability Aware Model of Fully Planar NAND Flash Memory Including Line Edge Roughness Pavel Poliakov, Pieter Blomme, Miguel Miranda Corbalan, Jan Van Houdt, and Wim Dehaene · Journal Article · 2011 Microelectronics Reliability ; 2011; Vol. 51; iss. 5; pp. 919 - 924
Unipolar Organic Transistor Circuits Made Robust by Dual-Gate Technology Kris Myny, Monique J Beenhakkers, Nick AJM van Aerle, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2011 IEEE Journal of Solid-State Circuits ; 2011; Vol. 46; iss. 5; pp. 1223 - 1230
Integration challenges of copper Through Silicon Via (TSV) metallization for 3D-stacked IC integration J Van Olmen, C Huyghebaert, J Coenen, J Van Aelst, E Sleeckx, A Van Ammel, S Armini, G Katti, J Vaes, W Dehaene, E Beyne, and Y Travaly · Journal Article · 2011 Microelectronic Engineering; 2011; Vol. 88; iss. 5; pp. 745 - 748
Temperature-dependent modeling and characterization of Through Silicon Via (TSV) capacitance Guruprasad Katti, Michele Stucchi, Dimitrios Velenis, Bart Soree, Kristin De Meyer, and Wim Dehaene · Journal Article · 2011 IEEE Electron Device Letters ; 2011; Vol. 32; iss. 4; pp. 563 - 565
An 8b organic microprocessor on plastic foil Kris Myny, Erik van Veenendaal, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2011 Proc. IEEE International Solid-State Circuits Conference (ISSCC 2011) ; 2011; pp. 322 - 324
A Synchronization-Free Spread Spectrum Clock Generation Technique for Automotive Applications Junfeng Zhou and Wim Dehaene · Journal Article · 2011 IEEE Transactions On Electromagnetic Compatibility; 2011; Vol. 53; iss. 1; pp. 169 - 177
Towards EPC-Compatible Organic RFID Tags Kris Myny, Soeren Steudel, Peter Vicca, Steve Smout, Monique J Beenhakkers, Nick AJM van Aerle, Francois Furthner, Bas van der Putten, Ashutosh K Tripathi, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2011 ANALOG CIRCUIT DESIGN: ROBUST DESIGN, SIGMA DELTA CONVERTERS, RFID ; 2011; pp. 347 - 367
Organic thin-film microprocessor on flexible foil Paul Heremans, Kris Myny, Jan Genoe, Erik Van Veenendaal, Gerwin Gelinck, and Wim Dehaene · Other · 2011
Si-based tunnel field-effect transistors for low-power nano-electronics Anne Verhulst, William Vandenberghe, Daniele Leonelli, Rita Rooyackers, Anne Vandooren, Jing Zhuge, Frank Kao, Bart Soree, Wim Magnus, Massimo Fischetti, Geoffrey Pourtois, Cedric Huyghebaert, Ru Huang, Yangyuan Wang, Kristin De Meyer, Wim Dehaene, Marc Heyns, and Guido Groeseneken · Conference Proceeding · 2011 Device Research Conference - Conference Digest, DRC; 2011; pp. 193 - 196
Design issues and considerations for low-cost 3-D TSV IC technology Geert Van der Plas, Paresh Limaye, Igor Loi, Abdelkarim Mercha, Herman Oprins, Cristina Torregiani, Steven Thijs, Dimitri Linten, Michele Stucchi, Guruprasad Katti, Dimitrios Velenis, Vladimir Cherman, Bart Vandevelde, Veerle Simons, Ingrid De Wolf, Riet Labie, Dan Perry, Stephane Bronckers, Nikolaos Minas, Miro Cupac, Wouter Ruythooren, Jan Van Olmen, Alain Phommahaxay, Muriel de Potter de ten Broeck, Ann Opdebeeck, Michal Rakowski, Bart De Wachter, Morin Dehan, Marc Nelis, Rahul Agarwal, Antonio Pullini, Federico Angiolini, Luca Benini, Wim Dehaene, Youssef Travaly, Eric Beyne, and Paul Marchal · Journal Article · 2011 IEEE Journal of Solid-State Circuits ; 2011; Vol. 46; iss. 1; pp. 293 - 307
Tunnel field-effect transistors for low-power nano-electronics Anne Verhulst, William Vandenberghe, Daniele Leonelli, Frank Kao, Rita Rooyackers, Anne Vandooren, Jing Zhuge, Bart Soree, Wim Magnus, Massimo Fischetti, Geoffrey Pourtois, Ru Huang, Yangyuan Wang, Kristin De Meyer, Wim Dehaene, Cedric Huyghebaert, Marc Heyns, and Guido Groeseneken · Conference Proceeding · 2011
Short gate tunnel-FETs for low voltage digital applications Jing Zhuge, Anne Verhulst, William Vandenberghe, Wim Dehaene, Ru Huang, Yangyuan Wang, and Guido Groeseneken · Conference Proceeding · 2011
Circuits and systems engineering education through interdisciplinary team-based design projects Wim Dehaene, Georges Gielen, Geert Deconinck, Johan Driesen, Marc Moonen, Bart Nauwelaers, Chris Van Hoof, and Patrick Wambacq · Conference Proceeding · 2011 2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) ; 2011; pp. 1195 - 1198
A 4.4 pJ/Access 80MHz , 2K Word x 64b Memory with Write Masking Feature and Variability Resilient Multi-Sized Sense Amplifier Redundancy for Wireless Sensor Nodes Applications Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2010 IEEE ESSCIRC ; 2010; pp. 358 - 361
A/D Conversion Using Asynchronous Delta-Sigma Modulation and Time-to-Digital Conversion Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Journal Article · 2010 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2010; Vol. 57; iss. 9; pp. 2404 - 2412
A 0.5 V-1.4 V supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networks Wouter Volkaerts, Bart Marien, Hans Danneels, Valentijn De Smedt, Patrick Reynaert, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2010 2010 International Symposium on Circuits and Systems ; 2010; pp. 3096 - 3099
Roughness analysis for EUV lithography A Vaglio Pret, Pavel Poliakov, D Bianche, R Gronheid, P Blomme, Miranda Corbalan, J Van Houdt, and Wim Dehaene · Conference Proceeding · 2010
Organic RFID transponder chip with data rate compatible with electronic product coding Kris Myny, Soeren Steudel, Steve Smout, Peter Vicca, Francois Furthner, Bas van der Putten, Ashutosh K Tripathi, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2010 Organic Electronics ; 2010; Vol. 11; iss. 7; pp. 1176 - 1179
Capacitance reduction technique for through silicon via (TSV) in p-Si substrate Guruprasad Katti, Michele Stucchi, Kristin De Meyer, and Wim Dehaene · Journal Article · 2010 IEEE Electron Device Letters ; 2010; Vol. 31; iss. 6; pp. 549 - 551
Through-Silicon-Via Capacitance Reduction Technique to Benefit 3-D IC Performance Guruprasad Katti, Michele Stucchi, Jan Van Olmen, Kristin De Meyer, and Wim Dehaene · Journal Article · 2010 IEEE Electron Device Letters; 2010; Vol. 31; iss. 6; pp. 549 - 551
A Fully Digital GHz-Range Multimode Transmitter Front-End Based on Locked Delay Lines Pieter AJ Nuyts, Peter Singerl, Franz Dielacher, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2010 Proceedings of the Second Annual European Reconfigurable Radio Technologies Workshop ; 2010; pp. 126 - 128
Impact of Line Edge Roughness on Cell-to-Cell Coupling Variability in NAND Flash Arrays Pavel Poliakov, P Blomme, Miranda Corbalan, A Anchlia, P Dobrovolny, L Brusamarello, M Stucchi, J Van Houdt, and Wim Dehaene · Conference Proceeding · 2010
Circuit Design for Bias Compatibility in Novel FinFET based floating RAM Pavel Poliakov, A Anchlia, M Garia Bardon, Bram Rooseleer, B De Wachter, N Collaert, K van der Zanden, Wim Dehaene, D Verkest, and Miranda Corbalan · Journal Article · 2010 IEEE Transactions on Circuits and Systems II, Express Briefs ; 2010; Vol. 57; iss. 3; pp. 183 - 187
All-digital differential VCO-based A/D conversion Jorg Daniels, Wim Dehaene, and Michel Steyaert · Conference Proceeding · 2010 Proceedings of 2010 IEEE International Symposium on Circuits and Sytems (ISCAS) ; 2010; pp. 1085 - 1088
A 0.02mm2 65nm CMOS 30MHz BW all-digital differential VCO-based ADC with 64dB SNDR Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2010 2010 IEEE Symposium on VLSI Circuits (VLSIC), Digest of Technical Papers ; 2010; pp. 155 - 156
An RDL-configurable 3D memory tier to replace on-chip SRAM Marco Facchini, Pol Marchal, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2010 Proceedings of the Design, Automation and Test in Europe Conference - DATE ; 2010; pp. 291 - 294
Test structures for characterization of through silicon vias Michele Stucchi, Dan Perry, Guruprasad Katti, and Wim Dehaene · Conference Proceeding · 2010 Proceedings of the 23rd IEEE International Conference on Microelectronic Test Structures - ICMTS ; 2010; pp. 130 - 134
Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack-challenges and solutions Geert Van der Plas, Steven Thijs, Dimitri Linten, Katti Guruprasad, Paresh Limaye, Abdelkarim Mercha, Michele Stucchi, Herman Oprins, Bart Vandevelde, Nikolas Minas, Miro Cupac, Morin Dehan, Marc Nelis, Rahul Agarwal, Wim Dehaene, Youssef Travaly, Eric Beyne, and Paul Marchal · Conference Proceeding · 2010 IEEE Custom Integrated Circuits Conference; 2010; pp.
Temperature dependent electrical characteristics of through-si-via (TSV) interconnections Guruprasad Katti, Abdelkarim Mercha, Michele Stucchi, Zsolt Tokei, Dimitrios Velenis, Jan Van Olmen, Cedric Huyghebaert, Anne Jourdain, Michal Rakowski, Ingrid Debusschere, Philippe Soussan, Herman Oprins, Wim Dehaene, Kristin De Meyer, Youssef Travaly, Eric Beyne, Serge Biesemans, and Bart Swinnen · Conference Proceeding · 2010 Proceedings of the IEEE International Interconnect Technology Conference - IITC; 2010
Organic transistor technology options for device performance versus technology options for increased circuit reliability and yield on foil Jan Genoe, Kris Myny, Soeren Steudel, Steve Smout, Peter Vicca, Bas van der Putten, Ashutosh Tripathi, Nick van Aerle, Gerwin Gelinck, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 68th Annual Device Research Conference ; 2010; pp. 171 - 174
Organic RFID tags Kris Myny, Soeren Steudel, Peter Vicca, Monique J Beenhakkers, Nick AJM van Aerle, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Book Chapter · 2010 Radio Frequency Identification Fundamentals and Applications Design Methods and Solutions ; 2010; pp. 311 - 324
Robust digital design in organic electronics by dual-gate technology Kris Myny, Monique J Beenhakkers, Nick AJM van Aerle, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the IEEE International Solid-State Circuits Conference - ISSCC ; 2010; Vol. 53; pp. 140 - 141
Increasing complexity of organic transistor circuits on foil: 128 bit organic RFID tags and flexible display backplanes Jan Genoe, Kris Myny, Soeren Steudel, Steve Smout, Peter Vicca, Bas van der Putten, AK Tripathi, Nick AJM Van Aerle, Christiane Grillberger, Olaf R Hild, Gerwin H Gelinck, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 6th Global Plastic Electronics Conference; 2010
An On-Chip Power supply regulator to Reduce the Switching Noise Junfeng Zhou and Wim Dehaene · Journal Article · 2010 IEEE Transactions on Electromagnetic Compatibility; 2010; Vol. 53; iss. 1; pp. 157 - 168
Towards EPC compatible plastic RFID tags Kris Myny, Soeren Steudel, Peter Vicca, Steve Smout, MJ Beenhakkers, NAJM Van Aerle, F Furthner, B Van der Putten, AK Tripathi, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 218th ECS Meeting : E13 - Thin Film Transistors 10 (TFT 10) ; 2010; Vol. 33; iss. 5; pp. 1830 - ...
A Reconfigurable, 130 nm CMOS 108 pJ/pulse, Fully Integrated IR-UWB Receiver for Communication and Precise Ranging Nick Van Helleputte, Marian Verhelst, Wim Dehaene, and Georges Gielen · Journal Article · 2010 IEEE Journal of Solid-State Circuits; 2010; Vol. 45; iss. 1; pp. 69 - 83
Electrical modeling and characterization of through silicon via for three-dimensional ICs Guruprasad Katti, Michele Stucchi, Kristin De Meyer, and Wim Dehaene · Journal Article · 2010 IEEE Transactions on Electron Devices ; 2010; Vol. 57; iss. 1; pp. 256 - 262
Plastic circuits and tags for 13.56 MHz radio-frequency communication Kris Myny, Soeren Steudel, Peter Vicca, Monique Beenhakkers, Nick van Aerle, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2009 Solid-State Electronics; 2009; Vol. 53; iss. 12; pp. 1220 - 1226
A reconfigurable, 0.13μm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging M Verhelst, N Van Helleputte, G Gielen, and W Dehaene · Conference Proceeding · 2009 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2009
A 0.4-1.4V 24MHz fully integrated 33μW, 104ppm/V supply-independent oscillator for RFIDs Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2009 ESSCIRC - European Solid-State Circuit Conference, 35th Proceedings of ; 2009; pp. 397 - 400
Circuit Design for Bias Compatibility Investigation of Bulk FinFET Based Floating Body RAM Ankur Anchlia, Marie Garcia Bardon, Pavel Poliakov, Bram Rooseleer, Bart De Wachter, Nadine Collaert, K van der Zanden, Miguel Miranda Corbalan, Wim Dehaene, and Diederik Verkest · Conference Proceeding · 2009 Proceedings of the 2009 IEEE International Workshop on Memory Technology, Design and Testing ; 2009; pp. 7 - 12
Performance Analysis of a Flexible Subsampling Receiver for Pulsed UWB Signals Yves Vanderperren, Geert Leus, and Wim Dehaene · Journal Article · 2009 IEEE transactions on wireless communications ; 2009; Vol. 8; iss. 8; pp. 4134 - 4142
ActivaSC: A highly efficient and non-intrusive extension for activity-based analysis of SystemC models Cedric Walravens, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2009 Design Automation Conference, 2009 ; 2009; pp. 172 - 177
A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers Stefan Cosemans, Wim Dehaene, and francky Catthoor · Journal Article · 2009 IEEE Journal of Solid-State Circuits ; 2009; Vol. 44; iss. 7; pp. 2065 - 2077
A 3-Tier UWB-Based Indoor Localization System for Ultra-Low-Power Sensor Networks Zheng Li, Wim Dehaene, and Georges Gielen · Journal Article · 2009 IEEE Transactions on Wireless Communications ; 2009; Vol. 8; iss. 6; pp. 2813 - 2818
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications Marco Facchini, T Carlson, Anselme Vignon, M Palkovic, Francky Catthoor, Wim Dehaene, L Benini, and Paul Marchal · Conference Proceeding · 2009 DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2009; pp. 923 - +
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context Anselme Vignon, Stefan Cosemans, Wim Dehaene, Paul Marchal, and Marco Facchini · Conference Proceeding · 2009 DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2009; pp. 929 - +
Energy Scalable Radio Design for Pulsed UWB Communication and Ranging Introduction and Motivation Marian Verhelst and Wim Dehaene · Book Chapter · 2009 ENERGY SCALABLE RADIO DESIGN: FOR PULSED UWB COMMUNICATION AND RANGING; 2009; pp. 1 - +
RFID, WHere are they ? Wim Dehaene, Georges Gielen, Michel Steyaert, Hans Danneels, Valentijn De Smedt, Christophe De Roover, Zheng Li, Marian Verhelst, Nick Van Helleputte, Soheil Radiom, Cedric Walravens, and L Pleysier · Conference Proceeding · 2009 Proceedings of ESSCIRC 2009; 2009; pp. 37 - 44
A reconfigurable, 0.13µm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging Marian Verhelst, Nick Van Helleputte, Georges Gielen, and Wim Dehaene · Other · 2009 ISSCC; 2009; pp. 250 - 251
A 128b organic RFID transponder chip, including Manchester encoding and ALOHA anti-collision protocol, operating with a data rate of 1529b/s Kris Myny, MJ Beenhakkers, NAJM van Aerle, GH Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2009 Proceedings of the IEEE International Solid-State Circuits Conference - ISSCC; 2009; pp. 206 - 207
3D IO interface design between memory and logic dies on TSV interconnects Marco Facchini, Pol Marchal, and Wim Dehaene · Conference Proceeding · 2009 Proceedings of the HPCA-15 / Workshop on 3D Integration and Interconnection-Centric Architectures; 2009
3D Technology Assessment:Path-finding the Technology/Design sweet-spot P Marchal, B Bougard, K Guruprasad, M Stucchi, Wim Dehaene, A Papanikolaou, D Verkest, B Swinnen, and E Beyne · Journal Article · 2009 Proceedings of the IEEE ; 2009; Vol. 97; iss. 1; pp. 96 - 107
3D Stacked IC demonstrator using hybrid collective Die-to-Wafer bonding with copper through silicon vias (TSV) J Van Olmen, J Coenen, Wim Dehaene, Kristin De Meyer, C Huyghebaert, A Jourdain, Guruprasad Katti, A Mercha, M Rakowski, M Stucchi, Y Travaly, E Beyne, and B Swinnen · Conference Proceeding · 2009 2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION; 2009; pp. 1 - 5
Design and synthesis of pareto buffers offering large range runtime energy/delay tradeoffs via combined buffer size and supply voltage tuning H Wang, M Miranda, Wim Dehaene, and Francky Catthoor · Journal Article · 2009 IEEE transactions on very large scale integration (VLSI) systems ; 2009; Vol. 17; iss. 1; pp. 117 - 127
Energy Scalable Radio Design: for Pulsed UWB Communication and Ranging Marian Verhelst and Wim Dehaene · Book · 2009
UML for Electronic Systems Design: A Comprehensive Overview Yves Vanderperren, Wolfgang Mueller, and Wim Dehaene · Journal Article · 2008 Design Automation for Embedded Systems ; 2008; Vol. 12; iss. 4; pp. 261 - 292
A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous Delta-Sigma ADC applications Jorg Daniels, Wim Dehaene, Michiel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE Asian Solid-State Circuits Conference, 2008; 2008; pp. 365 - 368
Analysis of the QAC IR-UWB receiver for low energy, low data-rate communication Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2008; Vol. 55; iss. 8; pp. 2423 - 2432
A Reconfigurable Pulsed UWB Receiver Sampling Below Nyquist Rate Yves Vanderperren, Geert Leus, and Wim Dehaene · Conference Proceeding · 2008 Proc. IEEE International Conf. on Ultra-Wideband; 2008; Vol. 2; pp. 145 - +
Synthesis of runtime switchable pareto buffers offering full range fine grained energy/delay trade-offs Hua Wang, Francky Catthoor, Miguel Miranda Corbalan, and Wim Dehaene · Journal Article · 2008 Journal of Vlsi Signal Processing ; 2008; Vol. 52; iss. 2; pp. 193 - 210
A flexible, ultra-low-energy 35 pJ/pulse digital back-end for a QAC IR-UWB receiver Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE journal of solid-state circuits ; 2008; Vol. 43; iss. 7; pp. 1677 - 1687
A Subsampling Pulsed UWB Demodulator Based on a Flexible Complex SVD Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2008 Proc. IEEE International Conference Application-specific Systems, Architectures and Processors; 2008; pp. 114 - 119
A/D Conversion Using an Asynchronous Delta-Sigma Modulator and a Time-to-Digital Converter Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 1648 - 1651
A Low Power, Reconfigurable IR-UWB System Marian Verhelst, J Ryckaert, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2008 Communications, 2008. ICC '08. IEEE International Conference on ; 2008; pp. 3770 - 3774