Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Influence of a Nonlinear Amplifier Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 331 - 339
Definitions and Conventions Used Throughout the Work Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 317 - 329
Measurement Issues for Jitter and Phase Noise Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 341 - 352
Comparison to the State of the Art Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 353 - 359
Design of a Low-Power Wireless RFID Tag Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 295 - 305
Datapath Blocks Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 113 - 139
Temperature- and Supply Voltage-Independent Time References for Wireless Sensor Networks Conclusion Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 307 - 315
Injection-Locked Oscillators Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 209 - 256
Towards Energy-Efficient CMOS Integrated Sensor-to-Digital Interface Circuits Jelle Van Rethy, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Book Chapter · 2015 High-performance AD and DA Converters, IC Design in Scaled Technologies, and Time-Domain Signal Processing ; 2015; pp. 379 - 397
Comparative BTI impact for SRAM cell and sense amplifier designs Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2015 MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale; 2015
Benchmarking of MoS2 FETs with Multigate Si-FET Options for 5 nm and Beyond Tarun Kumar Agarwal, Dimitry Yakimets, Praveen Raghavan, Iuliana Radu, Aaron Thean, Marc Heyns, and Wim Dehaene · Journal Article · 2015 IEEE Transactions on Electron Devices ; 2015; Vol. 62; iss. 12; pp. 4051 - 4056
Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. V - V
Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Conclusion Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 171 - 180
Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Introduction Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 1 - 18
Sub-Threshold Operation: Theory and Challenges Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 19 - 45
Gate-Level Building Blocks Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 47 - 84
Current State-of-the-Art in Literature Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 181 - 187
Wireless Sensor Nodes Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Journal Article · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp.
The Pulsed Oscillator Topology Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 173 - 207
Oscillator-Based Sensor Interfaces Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 257 - 292
JPEG Encoder Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 141 - 170
Oscillator Designs for Temperature and Voltage Independence Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp.
Design of Two Wien Bridge Oscillators Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 139 - 172
Oscillators and Time References Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 31 - 59
Impact analysis of deep-submicron CMOS technologies on the voltage and temperature independence of a time-domain sensor interface Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Journal Article · 2015 Analog Integrated Circuits and Signal Processing ; 2015; Vol. 82; iss. 1; pp. 285 - 296
Temperature- and Supply Voltage-Independent Time References for Wireless Sensor Networks Preface Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. VII - +
New devices for internet of things: A circuit level perspective Wim Dehaene and A Verhulst · Conference Proceeding · 2015 2015 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM); 2015; Vol. 2016-February; pp. 25 - ...
STT-MRAM cell design with partial source line planes: improving the trade-off between area and series resistance Raf Appeltans, Stefan Cosemans, Praveen Raghavan, Diederik Verkest, Liesbet Van der Perre, and Wim Dehaene · Conference Proceeding · 2015 IEEE Non-Volatile Memory System and Applications Symposium - NVMSA ; 2015; pp. 1 - 6
A thin-film microprocessor with inkjet print-programmable memory Kris Myny, Steve Smout, Maarten Rockele, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Brian Cobb, Aashini Gulati, Francisco Gonzalez Rodriguez, Koji Obata, Marko Marinkovic, Duy-Vu Pham, Arne Hoppe, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2014 Scientific Reports ; 2014; Vol. 4; iss. 1; pp.
Reducing Influence of Bias Stress on AMOLED Displays by Driving in Linear Regime: a Sensitivity Perspective Florian De Roose, Kris Myny, Jan Genoe, Paul Heremans, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of The International Display Workshops ; 2014; Vol. 21; pp. 1382 - 1385
Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits Nele Reynders and Wim Dehaene · Book · 2014
Ultra-Low Voltage Datapath Blocks in 28nm UTBB FD-SOI Hans Reyserhove, Nele Reynders, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of the IEEE Asian Solid State Circuits Conference (A-SSCC) ; 2014; pp. 49 - 52
An MLS-Prony implementation for a cm-Precise Super 10 m range 802.15.3c-PHY 60 GHz positioning application Tom Redant and Wim Dehaene · Journal Article · 2014 Journal of Ambient Intelligence and Humanized Computing ; 2014; Vol. 5; iss. 5; pp. 623 - 634
A 60GHz transmitter in 40nm CMOS achieving mm-precision for discrete-carrier localization Paramartha Indirayanti Wisnuputri, Tuba Ayhan, Marian Verhelst, Wim Dehaene, and Patrick Reynaert · Conference Proceeding · 2014 ESSCIRC ; 2014; pp. 291 - 294
Een exploratieve studie naar de relatie tussen geïntegreerd STEM-onderwijs en STEM-attitude op secundair niveau Heidi Knipprath, Jolien De Meester, Mieke De Cock, and Wim Dehaene · Other · 2014 VFO Studiedag 18 September 2014; 2014
A 128∶2048/1536 point FFT hardware implementation with output pruning Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2014 Signal Processing Conference (EUSIPCO), 2014 Proceedings of the 22nd European ; 2014; pp. 266 - 270
A remotely-powered, 20 Mb/s, 5.35 pJ/bit impulse-UWB WSN tag for cm-accurate-localization sensor networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Cedric Walravens, Soheil Radiom, Marian Verhelst, Michiel Steyaert, Wim Dehaene, and Georges Gielen · Journal Article · 2014 Analog Integrated Circuits and Signal Processing ; 2014; Vol. 80; iss. 3; pp. 531 - 540
A pre-university outreach activity on human-computer interfaces B Hunyadi, H De Clercq, N Koolen, V Desmedt, Sandro Iacovella, Geert Deconinck, Georges Gielen, Bob Puers, Sabine Van Huffel, and Wim Dehaene · Conference Proceeding · 2014 Proc. Int. Gender and STEM Conference ; 2014; pp. 1 - 5
A smart robot contest, based on a versatile electronics platform, entices bachelor students to opt for electrical engineering education Hans De Clercq, Valentijn De Smedt, Jelle Van Rethy, Piet Callemeyn, Jeroen Lecoutere, Niels Van Thienen, Hans Reyserhove, Bob Puers, Patrick Reynaert, Georges Gielen, and Wim Dehaene · Other · 2014
Bi-directional communication in an HF hybrid organic/solution-processed metal-oxide RFID tag Kris Myny, Maarten Rockelé, Adrian Vaisman Chasin, Duy-Vu Pham, Jürgen Steiger, Silviu Botnaras, Dennis Weber, Bernhard Herold, Jürgen Ficker, Bas van der Putten, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2014 IEEE Transactions on Electron Devices ; 2014; Vol. 61; iss. 7; pp. 2387 - 2393
Demonstrating the relevance of science & math through abstract, integrated STEM: a new didactic approach Jolien De Meester, Jan Thielemans, Mieke De Cock, Greet Langie, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of the Gender&STEM Network Conference 2014 ; 2014; pp. 30 - 30
Design of a frequency reference based on a PVT-independent transmission line delay Florian De Roose, Valentijn De Smedt, Wouter Volkaerts, Michiel Steyaert, Georges Gielen, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of the 2014 International Symposium on Circuits and Systems ; 2014; pp. 1772 - 1775
Energy-Efficient Logic and SRAM Design: a Case Study Nele Reynders, Bram Rooseleer, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of IEEE Faible Tension Faible Consommation (FTFC) ; 2014; pp. 1 - 4
20.1 A 40nm CMOS receiver for 60GHz discrete-carrier indoor localization achieving mm-precision at 4m range T Redant, T Ayhan, N De Clercq, M Verhelst, P Reynaert, and W Dehaene · Conference Proceeding · 2014 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2014; Vol. 57; pp. 342 - 343
Towards Energy-Efficient CMOS Integrated Sensor-to-Digital Interface Circuits Jelle Van Rethy, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2014
Accounting for variability in the design of circuits with organic thin-film transistors Kris Myny, Pieter van Lieshout, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2014 Organic Electronics ; 2014; Vol. 15; iss. 4; pp. 937 - 942
Impact of multipath fading on a precise 60 GHz indoor locationing system Tuba Ayhan, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2014 Signal Processing and Communications Applications Conference (SIU) ; 2014; pp. 1841 - ...
Transient Behavior and Phase Noise Performance of Pulsed-Harmonic Oscillators Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Journal Article · 2014 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2014; Vol. 61; iss. 99; pp. 1 - 10
A 210mV 5MHz Variation-Resilient Near-Threshold JPEG Encoder in 40nm CMOS Nele Reynders and Wim Dehaene · Conference Proceeding · 2014 Proceedings of IEEE International Solid-State Circuits Conference (ISSCC) ; 2014; Vol. 57; pp. 456 - 457
A 40nm CMOS Receiver for 60GHz Discrete-Carrier Indoor Localization Achieving mm-Precision at 4m Range Tom Redant, Tuba Ayhan, Nico De Clercq, Marian Verhelst, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2014 Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International ; 2014; Vol. 57; pp. 342 - 343
8b thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory Kris Myny, Steve Smout, Maarten Rockele, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Koji Obata, Marko Marinkovic, Duy-Vu Pham, Arne Hoppe, Aashini Gulati, Francisco Gonzalez Rodriguez, Brian Cobb, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2014 IEEE International Solid-State Circuits Conference - ISSCC ; 2014; Vol. 57; pp. 486 - 487
Chips : meer, sneller, kleiner en wat doen we met de batterij ? Wim Dehaene and Patrick Reynaert · Book Chapter · 2014 Lessen voor de eenentwintigste eeuw 2014 ; 2014; pp. 63 - 70
Continuous-Time Digital Front-Ends for Multistandard Wireless Transmission Preface Wim Dehaene, Pieter AJ Nuyts, and Patrick Reynaert · Book Chapter · 2014 CONTINUOUS-TIME DIGITAL FRONT-ENDS FOR MULTISTANDARD WIRELESS TRANSMISSION; 2014; pp. VII - VIII
A 128 similar to 2048/1536 POINT FFT HARDWARE IMPLEMENTATION WITH OUTPUT PRUNING Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2014 2014 PROCEEDINGS OF THE 22ND EUROPEAN SIGNAL PROCESSING CONFERENCE (EUSIPCO); 2014; pp. 266 - 270
Low-Power Digital Signal Processor Architecture for Wireless Sensor Nodes Cedric Walravens and Wim Dehaene · Journal Article · 2014 IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; 2014; Vol. 22; iss. 2; pp. 313 - 321
Frequency-Domain Analysis of Digital PWM-Based RF Modulators for Flexible Wireless Transmitters Pieter Nuyts, Patrick Reynaert, and Wim Dehaene · Journal Article · 2014 IEEE Transactions on Circuits and Systems I, Fundamental Theory and Applications ; 2014; Vol. 61; iss. 1; pp. 238 - 246
Continuous-Time Digital Front-Ends for Multistandard Wireless Transmission Pieter Nuyts, Patrick Reynaert, and Wim Dehaene · Book · 2014
Modelling and mitigation of time-zero variability in sub-16nm FinFET-based STT-MRAM memories Matthias Hartmann, Halil Kukner, Prashant Agrawal, Praveen Raghavan, Liesbet Van der Perre, and Wim Dehaene · Conference Proceeding · 2014 Great Lakes Symposium on VLSI ; 2014; Vol. 24; pp. 243 - 244
A 40nm, high bandwidth, VCO-based burst-mode receiver backend for EHF multi-carrier wireless Tom Redant and Wim Dehaene · Conference Proceeding · 2013 2013 Proceedings of Technical Papers IEEE Asian Solid-State Circuits Conference 2013 (A-SSCC 2013) ; 2013; pp. 149 - 152
A 40nm-CMOS, 18 uW, temperature and supply voltage independent sensor interface for RFID tags Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2013 Solid-State Circuits Conference (A-SSCC), 2013 IEEE Asian ; 2013; pp. 113 - 116
Supply-Noise-Resilient Design of a BBPLL-Based Force-Balanced Wheatstone Bridge Interface in 130-nm CMOS Jelle Van Rethy, Hans Danneels, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Journal Article · 2013 IEEE Journal of Solid-State Circuits ; 2013; Vol. 48; iss. 11; pp. 2618 - 2627
Presilicon Circuit-Aware Linear Least Squares Spectral Analysis for Time-Based Data Converters Tom Redant, Pieter Nuyts, Patrick Reynaert, and Wim Dehaene · Journal Article · 2013 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2013; Vol. 60; iss. 11; pp. 751 - 755
A 60 GHz wide band Direct Downconversion Receiver in 40 nm CMOS Nico De Clercq, Wim Dehaene, and Patrick Reynaert · Conference Proceeding · 2013 Proceedings of the 8th European Microwave Integrated Circuits Conference ; 2013; pp. 9 - 12
A method for using sub-Nyquist sampling for ultra low-power positioning systems Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2013 Signal Processing Systems (SiPS), 2013 IEEE Workshop on ; 2013; pp. 100 - 105
A 40nm-CMOS, 72 µW injection-locked timing reference and 1.8 Mbit/s coordination receiver for wireless sensor networks Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2013 ESSCIRC (ESSCIRC), 2013 Proceedings of the ; 2013; Vol. 39; pp. 307 - 310
Development of open-source interactive smart energy house for K12 engineering education on residential energy efficiency Jan Verveckken, Frederik Geth, Jef Beerten, Borbála Hunyadi, Niels Leemput, Juan Van Roy, Pieter Tielens, Valentijn De Smedt, Sandro Iacovella, Hanspeter Höschle, Ninah Koolen, Hans De Clercq, Johan Driesen, Georges Gielen, Bob Puers, Joos Vandewalle, Sabine Van Huffel, Ronnie Belmans, Geert Deconinck, and Wim Dehaene · Conference Proceeding · 2013 Proc. SEFI Annual Conference 2013 ; 2013; pp. 1 - 12
A 40 nm, 454MHz 114 fJ/bit area-efficient SRAM memory with integrated charge pump Bram Rooseleer and Wim Dehaene · Conference Proceeding · 2013 ESSCIRC (ESSCIRC), 2013 Proceedings of the ; 2013; pp. 201 - 204
Development of a controller platform for educational projects: A case study Valentijn De Smedt, Hans De Clercq, Piet Callemeyn, Jelle Van Rethy, Maarten Tytgat, Jens Verbeeck, Bob Puers, Michiel Steyaert, Paul Leroux, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2013 Proceedings of SEFI Annual Conference 2013: Engineering education fast forward 1973 > 2013 >>; 2013; pp. 1 - 8
The mathematics in your ears. The role of math in integrated STEM via the modeling of hearing aids Jolien De Meester, Kim Batselier, Ninah Koolen, Borbála Hunyadi, JC Decuyper, Evelyne Vanden Bosch, Joos Vandewalle, and Wim Dehaene · Conference Proceeding · 2013 Proceedings of the 41th SEFI Conference ; 2013; pp. 1 - 8
Development of an Open-Source Smart Energy House for K-12 Education Frederik Geth, Jan Verveckken, Niels Leemput, Juan Van Roy, Jef Beerten, Pieter Tielens, Valentijn De Smedt, Sandro Iacovella, Borbála Hunyadi, Ninah Koolen, Hans De Clercq, Georges Gielen, Bob Puers, Sabine Van Huffel, Ronnie Belmans, Geert Deconinck, Wim Dehaene, and Johan Driesen · Conference Proceeding · 2013 Proc. IEEE Power and Energy Society Annual Meeting ; 2013; pp. 1 - 5
Developing Engineering-oriented Educational Workshops Within a Student Branch Jan Verveckken, Frederik Geth, Borbála Hunyadi, Jef Beerten, Niels Leemput, Juan Van Roy, Pieter Tielens, Valentijn De Smedt, Sandro Iacovella, Ninah Koolen, Hans De Clercq, Johan Driesen, Georges Gielen, Bob Puers, Joos Vandewalle, Sabine Van Huffel, Ronnie Belmans, Geert Deconinck, and Wim Dehaene · Conference Proceeding · 2013 Proc. IEEE EUROCON 2013 ; 2013; pp. 933 - 939
A Fully Digital PWM-based 1 to 3 GHz Multistandard Transmitter in 40-nm CMOS Pieter AJ Nuyts, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2013 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) ; 2013; pp. 419 - 422
Topology selection for high-precision Vernier digital-to-time converters in standard CMOS Pieter Nuyts, Tom Redant, Stefaan Michielsen, Patrick Reynaert, and Wim Dehaene · Journal Article · 2013 AEU - International Journal of Electronics and Communications ; 2013; Vol. 67; iss. 4; pp. 355 - 360
A Low-Power and Low-Voltage BBPLL-Based Sensor Interface in 130nm CMOS for Wireless Sensor Networks Jelle Van Rethy, Hans Danneels, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2013 DESIGN, AUTOMATION & TEST IN EUROPE ; 2013; Vol. 2013; pp. 1431 - 1435
Extending dynamic range of RF PWM transmitters Brecht François, Pieter Nuyts, Wim Dehaene, and Patrick Reynaert · Journal Article · 2013 Electronics Letters; 2013; Vol. 49; iss. 6; pp. 430 - 431
Joint Estimation of Propagation Delay Dispersion and Time of Arrival in a 40nm CMOS Comparator Bank for Time-Based Receivers Tom Redant and Wim Dehaene · Journal Article · 2013 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2013; Vol. 60; iss. 2; pp. 76 - 80
Optimized circuit design for flexible 8-bit RFID transponders with active layer of ink-jet printed small molecule semiconductors BKC Kjellander, WTT Smaal, Kris Myny, Jan Genoe, Wim Dehaene, Paul Heremans, and GH Gelinck · Journal Article · 2013 Organic Electronics ; 2013; Vol. 14; iss. 3; pp. 768 - 774
Design of a 150mV Supply, 2 MIPS, 90nm CMOS, Ultra-Low-Power Microprocessor Pieter Weckx, Nele Reynders, Ilse de Moffarts, and Wim Dehaene · Conference Proceeding · 2013 Lecture Notes in Computer Science ; 2013; Vol. 7606; pp. 175 - 184
Organic RFID tags Kris Myny, Soeren Steudel, Peter Vicca, Steve Smout, Monique J Beenhakkers, Nick AJM van Aerle, François Furthner, Bas van der Putten, Ashutosh K Tripathi, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Book Chapter · 2013 Applications of Organic and Printed Electronics - A Technology-Enabled Revolution ; 2013; pp. 133 - 155
SRAM design for wireless sensor networks: energy efficient and variability resilient techniques Vibhu Sharma, Francky Catthoor, and Wim Dehaene · Book · 2013
When engineering becomes children's playground W Dehaene, J Decuyper, and N Goddé · Conference Proceeding · 2013 41st SEFI Conference; 2013
Introduction to the Special Issue on the 2012 IEEE International Solid-State Circuits Conference Maurits Ortmanns, Timothy Fischer, Uming Ko, Wim Dehaene, and Yasuhiro Takai · Journal Article · 2013 IEEE Journal Of Solid-State Circuits; 2013; Vol. 48; iss. 1; pp. 3 - 7
Teaching HW/SW Co-Design With a Public Key Cryptography Application Leif Uhsadel, Markus Ullrich, Amitabh Das, Dusko Karaklajic, Josep Balasch, Ingrid Verbauwhede, and Wim Dehaene · Journal Article · 2013 IEEE Transactions on Education ; 2013; Vol. 56; iss. 4; pp. 478 - ...
Memristor-based data memory architecture in ASIP design Matthias Hartmann, Praveen Raghavan, Liesbet Van der Perre, Wim Dehaene, and Prashant Agrawal · Conference Proceeding · 2013 Euromicro DSD/SEAA Conference; 2013; pp. 795 - 798
Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Nele Reynders and Wim Dehaene · Journal Article · 2012 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2012; Vol. 59; iss. 12; pp. 898 - 902
An Energy-Efficient BBPLL-Based Force-Balanced Wheatstone Bridge Sensor-to-Digital Interface in 130nm CMOS Jelle Van Rethy, Hans Danneels, Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2012 2012 IEEE ASIAN SOLID STATE CIRCUITS CONFERENCE (A-SSCC); 2012; pp. 41 - 44
A 127 μW exact timing reference for Wireless Sensor Networks based on injection locking Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2012 ESSCIRC (ESSCIRC), 2012 Proceedings of the; 2012; pp. 261 - 264
A CMOS Burst-Mode Transmitter With Watt-Level RF PA and Flexible Fully Digital Front-End Pieter Nuyts, Brecht François, Wim Dehaene, and Patrick Reynaert · Journal Article · 2012 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2012; Vol. 59; iss. 10; pp. 613 - 617
TOWARDS A FAST AND HARDWARE EFFICIENT SUB-MM PRECISION RANGING SYSTEM Tuba Ayhan, Tom Redant, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2012 IEEE Workshop on Signal Processing Systems (SiPS), 2012 ; 2012; pp. 203 - 208
A Novel, Highly Linear, Voltage and Temperature Independent Sensor Interface using Pulse Width Modulation Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2012 26th European Conference on Solid-State Transducers, EUROSENSOR 2012 ; 2012; Vol. 47; pp. 1215 - 1218
Variation-Resilient Sub-Threshold Circuit Solutions for Ultra-Low-Power Digital Signal Processors with 10MHz Clock Frequency Nele Reynders and Wim Dehaene · Conference Proceeding · 2012 Proceedings of IEEE European Solid-State Circuits Conference ; 2012; pp. 474 - 477
Dual-Output Capacitive DC-DC Converter with Power Distribution Regulator in 90 nm CMOS Nico De Clercq, Tom Van Breussegem, Wim Dehaene, and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the 38th European Solid-State Circuits Conference ; 2012; pp. 169 - 172
An Ultra-Low-Power, Batteryless Microsystem for Wireless Sensor Networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Soheil Radiom, Nick Van Helleputte, Cedric Walravens, Zheng Li, Michiel Steyaert, Marian Verhelst, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2012 Procedia Engineering ; 2012; Vol. 47; pp. 1406 - 1409
Complementary integrated circuits on plastic foil using inkjet printed n- and p-type organic semiconductors: Fabrication, characterization, and circuit analysis Wiljan Smaal, Charlotte Kjellander, Yongbin Jeong, Ashutosh Tripathi, Bas van der Putten, Antonio Facchetti, Henry Yan, Jordan Quinn, John Anthony, Kris Myny, Wim Dehaene, and Gerwin Gelinck · Journal Article · 2012 Organic Electronics; 2012; Vol. 13; iss. 9; pp. 1686 - 1692
Wobble-based on-chip calibration circuit for temperature independent oscillators Valentijn De Smedt, Wouter Steyaert, Wim Dehaene, and Georges Gielen · Journal Article · 2012 Electronics Letters ; 2012; Vol. 48; iss. 16; pp. 1000 - 1001
A Fully Digital Delay Line Based GHz Range Multimode Transmitter Front-End in 65-nm CMOS Pieter AJ Nuyts, Peter Singerl, Franz Dielacher, Patrick Reynaert, and Wim Dehaene · Journal Article · 2012 IEEE Journal of Solid-State Circuits ; 2012; Vol. 47; iss. 7; pp. 1681 - 1692
A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dynamic cell stability and a dual swing data link Bram Rooseleer, Wim Dehaene, and Stefan Cosemans · Journal Article · 2012 IEEE Journal of Solid-State Circuits; 2012; Vol. 47; iss. 7; pp. 1784 - 1796
F5: Bioelectronics for sustainable healthcare C Van Hoof, W Dehaene, W Liu, T Denison, M Je, and HJ Yoo · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 506 - 507
Trades-off between lithography line edge roughness and error-correcting codes requirements for NAND Flash memories Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Miranda Corbalan, Roel Gronheid, Diederik Verkest, Jan Van Houdt, and Wim Dehaene · Journal Article · 2012 Microelectronics Reliability ; 2012; Vol. 52; iss. 3; pp. 525 - 529
Design of a Low-Energy Data Processing Architecture for WSN Nodes Cedric Walravens and Wim Dehaene · Conference Proceeding · 2012 Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012 ; 2012; Vol. 2012; pp. 570 - 573