Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Monolithic Capacitive DC-DC Converter with Single Boundary - Multiphase Control and Voltage Domain Stacking in 90nm CMOS Tom Van Breussegem and Michiel Steyaert · Journal Article · 2011 IEEE Journal of Solid-State Circuits ; 2011; Vol. 46; iss. 7; pp. 1715 - 1727
Comparison of high impedance input topologies with low EMI susceptibility Fridolin Michel and Michel Steyaert · Journal Article · 2010 Analog Integrated Circuits and Signal Processing ; 2010; Vol. 65; iss. 2; pp. 299 - 309
Design of a radiation-tolerant Time-to-Digital Converter for LIDAR application Ying Cao, Paul Leroux, and Michiel Steyaert · Other · 2010
Fully Integrated Switched-Capacitor DC-DC Converters in Standard CMOS: The Key- Enabler for on-chip Power Management Tom Van Breussegem, Hans Meyvaert, Mike Wens, and Michel Steyaert · Other · 2010
Fully-Integrated Inductive DC-DC converters in Standard CMOS Mike Wens, Hans Meyvaert, Tom Van Breussegem, and Michel Steyaert · Other · 2010
Analog techniques for reliable organic circuit design on foil applied to an 18 dB single-stage differential amplifier Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Journal Article · 2010 Organic Electronics; 2010; Vol. 11; iss. 8; pp. 1357 - 1362
Comparator-based switched-capacitor Delta-Sigma A/D converters Koen Cornelissens and Michiel Steyaert · Conference Proceeding · 2010 AACD 2010 Proceedings ; 2010; pp. 155 - 176
Ontwerp van stralingsharde optische ontvangers in CMOS Jens Verbeeck, Paul Leroux, and Michiel Steyaert · Other · 2010
Basic EMC Concepts at IC Level Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 11 - 35
EMI Resisting Bandgap References and Low Dropout Voltage Regulators Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 197 - 226
EMC of Integrated Circuits versus Distortion Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 37 - 82
EMC of Analog Integrated Circuits Introduction Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 1 - +
EMI Resisting Analog Input Circuits Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 141 - 195
EMI Resisting Analog Output Circuits Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 83 - 139
EMC of Analog Integrated Circuits Epilogue Jean-Michel Redoute and Michiel Steyaert · Book Chapter · 2010 EMC OF ANALOG INTEGRATED CIRCUITS; 2010; pp. 227 - 229
EMC of Analog Integrated Circuits Jean-Michel Redouté and Michel Steyaert · Book · 2010
Preface M Steyaert · Book Chapter · 2009
A 1-V 140-mu W 88-dB Audio Sigma-Delta Modulator in 90-nm CMOS (vol 39, pg 1809, 2004) Libin Yao, Michiel SJ Steyaert, and Willy Sansen · Other · 2009 IEEE Journal Of Solid-State Circuits; 2009; Vol. 44; iss. 11; pp. 3211 - 3211
Erratum to "A 1-V 140-uW 88-dB Audio Sigma-Delta Modulator in 90-nm CMOS L Yao, Michel Steyaert, and Willy Sansen · Other · 2009 IEEE Journal of Solid-State Circuits ; 2009; Vol. 44; iss. 11; pp. 3211 - 3211
Development of a precise and robust time-to-digital converter Ying Cao, Paul Leroux, and Michiel Steyaert · Other · 2009
A 66 mu W 86 ppm/degrees C Fully-Integrated 6 MHz Wienbridge Oscillator With a 172 dB Phase Noise FOM (vol 44, pg 1990, 2009) Valentijn De Smedt, Pieter De Wit, Wim Vereecken, and Michiel Steyaert · Other · 2009 IEEE Journal Of Solid-State Circuits; 2009; Vol. 44; iss. 10; pp. 2868 - 2868
Modeling, Design, Assessment of a 0.4 mu m SiGe Bipolar VCSEL Driver IC Under gamma-Radiation Paul Leroux, Wouter De Cock, Marco Van Uffelen, and Michiel Steyaert · Journal Article · 2009 IEEE Transactions On Nuclear Science; 2009; Vol. 56; iss. 4; pp. 1920 - 1925
Design, Assessment and Modeling of an Integrated 0.4 μm SiGe Bipolar VCSEL Driver under gamma-Radiation Paul Leroux, Wouter De Cock, Marco Van Uffelen, and Michel Steyaert · Journal Article · 2009 IEEE Transactions on Nuclear Science ; 2009; Vol. 56; iss. 4; pp. 1920 - 1925
A 0.1-5GHz dual-VCO software-defined sigma delta frequency synthesizer in 45nm digital CMOS Pierluigi Nuzzo, Kameswaran Vengattaramane, Mark Ingels, Vito Giannini, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium - RFIC; 2009; pp. 321 - 324
Variation-Aware Analog Structural Synthesis A Computational Intelligence Approach INTRODUCTION Trent McConaghy, Pieter Palmers, Peng Gao, Michiel Steyaert, and Georges Gielen · Book Chapter · 2009 VARIATION-AWARE ANALOG STRUCTURAL SYNTHESIS: A COMPUTATIONAL INTELLIGENCE APPROACH; 2009; pp. 1 - +
Ultra-Wideband Pulse-based Radio Wim Vereecken and Michiel Steyaert · Book · 2009
RF Communication Circuits Michiel Steyaert, Wouter De Cock, and Patrick Reynaert · Book Chapter · 2009 Analog and VLSI Circuits ; 2009; pp. 305 - 336
A gated ring oscillator based parallel-TDC with digital resolution enhancement Kameswaran Vengattaramane, Michiel Steyaert, Jan Craninckx, and Jonathan Borremans · Conference Proceeding · 2009 Proceedings of the 5th IEEE Asian Solid-State Circuits Conference - A-SSCC; 2009; pp. 57 - 60
DIGITAL COMMUNICATIONS OVER ANALOG CHANNELS Wim Vereecken and Michiel Steyaert · Book Chapter · 2009 ULTRA-WIDEBAND PULSE-BASED RADIO: RELIABLE COMMUNICATION OVER A WIDEBAND CHANNEL; 2009; pp. 1 - +
A 500kHz-10MHz Multimode Power-Performance Scalable 83-to-67dB DR CT Delta Sigma in 90 nm Digital CMOS with Flexible Analog Core Circuitry Pieter Crombez, Geert Van der Plas, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 2009 SYMPOSIUM ON VLSI CIRCUITS; 2009; pp. 58 - +
A 82% Efficiency 0.5% Ripple 16-Phase Fully Integrated Capacitive Voltage Doubler Tom Van Breussegem and Michiel Steyaert · Conference Proceeding · 2009 2009 SYMPOSIUM ON VLSI CIRCUITS; 2009; pp. 160 - 161
Analysis of fractional spur reduction using SD noise cancellation in digital PLL Kameswaran Vengattaramane, Jan Craninckx, and Michiel Steyaert · Conference Proceeding · 2009 Proceedings of the IEEE International Conference on Circuits and Systems - ISCAS ; 2009; pp. 2397 - 2400
Variation-Aware Analog Structural Synthesis A Computational Intelligence Approach CONCLUSION georges Gielen and michiel Steyaert · Book Chapter · 2009 VARIATION-AWARE ANALOG STRUCTURAL SYNTHESIS: A COMPUTATIONAL INTELLIGENCE APPROACH; 2009; pp. 267 - 275
Variation-Aware Analog Structural Synthesis Trent McConaghy, Pieter Palmers, Peng Gao, Michiel Steyaert, and Georges Gielen · Book · 2009
Part II: Integrated pa's: From wireline to rf M Steyaert · Conference Proceeding · 2008 Analog Circuit Design - Sensors, Actuators and Power Drivers; Integrated Power Amplifiers from Wireline to RF; Very High Frequency Front Ends, AACD 2007; 2008; pp. 91 - 92
A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous Delta-Sigma ADC applications Jorg Daniels, Wim Dehaene, Michiel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE Asian Solid-State Circuits Conference, 2008; 2008; pp. 365 - 368
”Design of a Radiation Tolerant LIDAR Receiver with mm Accuracy in 0.13 μm CMOS Dimitri De Jonghe, Paul Leroux, Marco Van Uffelen, Wouter De Cock, Filip Tavernier, and Michel Steyaert · Other · 2008
RF-CMOS: Analog Circuits and Digital Techniques Michel Steyaert, Fréderique Gobert, Lianming Li, Brecht Machiels, and Patrick Reynaert · Conference Proceeding · 2008
Design considerations for cascade Delta Sigma ADC's Koen Cornelissens and Michiel Steyaert · Journal Article · 2008 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2008; Vol. 55; iss. 5; pp. 389 - 393
A continuous-time delta-sigma modulator for 802.11a/b/g WLAN implemented with a hierarchical bottom-up optimization methodology Tom Eeckelaert, Raf Schoofs, Michiel Steyaert, Georges Gielen, and Willy Sansen · Journal Article · 2008 Analog integrated circuits and signal processing ; 2008; Vol. 55; iss. 1; pp. 37 - 45
A high speed, low voltage to high voltage level shifter in standard 1.2 V 0.13 mu m CMOS Bert Serneels, Michiel Steyaert, and Wim Dehaene · Journal Article · 2008 Analog integrated circuits and signal processing ; 2008; Vol. 55; iss. 1; pp. 85 - 91
Design of a radiation tolerant front-end for a LIDAR receiver with mm accuracy in 0.13 μm CMOS Dimitri De Jonghe, Paul Leroux, and Michiel Steyaert · Other · 2008
Self-oscillating RF amplifiers Patrick Reynaert, Willem Laflere, Michel Steyaert, and Jan Craninckx · Other · 2008
An Instrumentation Amplifier Input Circuit with a High Immunity to EMI Jean-Michel Redoute and Michiel Steyaert · Conference Proceeding · 2008 2008 INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY (EMC EUROPE); 2008; pp. 587 - 592
High voltage xDSL line drivers in nanometer technologies Bert Serneels, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2008 ANALOG CIRCUIT DESIGN; 2008; pp. 179 - 203
Design of High Voltage xDSL Line Drivers in Standards CMOS B Serneels and M Steyaert · Book · 2008
Design of High Voltage xDSL Line Drivers in Standard CMOS Introduction Bert Serneels and Michiel Steyaert · Book Chapter · 2008 DESIGN OF HIGH VOLTAGE XDSL LINE DRIVERS IN STANDARDS CMOS; 2008; pp. 1 - +
A CMOS source-buffered differential input stage with high EMI suppression Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2008 Custom Integrated Circuits Conference, 2008. CICC 2008. IEEE ; 2008; pp. 643 - 646
Power Efficient Reconfigurable Baseband Filters for Multimode Radios Pieter Crombez, Jan Craninckx, and Michel Steyaert · Book Chapter · 2008 Circuit and Systems for Future Generations of Wireless Communications ; 2008; pp. 223 - 248
EMI Resisting Smart-power Integrated LIN Driver with Reduced Slope Pumping Jean-Michel Redoute and Michiel Steyaert · Conference Proceeding · 2008 PROCEEDINGS OF THE IEEE 2008 CUSTOM INTEGRATED CIRCUITS CONFERENCE; 2008; pp. 643 - 646
Standard CMOS Photodiodes Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 27 - 60
An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection Tom Eeckelaert, Raf Schoofs, Georges Gielen, Michiel Steyaert, and Willy Sansen · Conference Proceeding · 2007 2007 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2007; pp. 81 - 86
Broadband Opto-Electrical Receivers in Standard CMOS C Hermans and M Steyaert · Book · 2007
An integrated CMOS differential pair immune to EMI Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO) ; 2007; pp. 185 - 190
Electromagnetic susceptibility of CMOS analog integrated output structures Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO) ; 2007; pp. 253 - 258
Broadband Opto-Electrical Receivers in Standard CMOS Preface Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. V - +
Broadband Opto-Electrical Receivers in Standard CMOS Introduction Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 1 - 11
Broadband Opto-Electrical Receivers in Standard CMOS Conclusions Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 167 - 169
CMOS Realizations Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 133 - 166
Transimpedance Amplifier Design Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 61 - 105
Genetic programming with design reuse for industrially scalable, novel circuit design Trent McConnaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2007 GECKO Conference; 2007
An integrated LIN driver with high EMI immunity Jean-Michel Redouté, Michel Steyaert, and J Catrysse · Conference Proceeding · 2007 PACE ; 2007; pp. 83 - 88
Optical Receiver Fundamentals Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 13 - 26
Post-Amplifier Design Carolien Hermans and Michiel Steyaert · Book Chapter · 2007 BROADBAND OPTO-ELECTRICAL RECEIVERS IN STANDARD CMOS; 2007; pp. 107 - 131
A power amplifier driver using self-oscillating pulse-width modulators Willem Laflere, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2007 ESSCIRC 2007: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2007; pp. 380 - 383
Part I: RF circuits: wide band, rront-ends, DAC's M Steyaert · Conference Proceeding · 2006 Analog Circuit Design - RF Circuits: Wide Band, Front-Ends, DAC's, Design Methodology and Verification for RF and Mixed-Signal Systems, Low Power and Low Voltage, AACD 2005; 2006; pp. 1 - 2
Part III: Ultra low power wireless M Steyaert · Conference Proceeding · 2006 Analog Circuit Design - High-Speed A-D Converters, Automotive Electronics and Ultra-Low Power Wireless, AACD 2006; 2006; pp. 253 - 254
Introduction to the Special Issue on the 2005 European Solid State Circuits Conference (ESSCIRC) MSJ Steyaert and S Rusu · Journal Article · 2006 IEEE Journal Of Solid-State Circuits; 2006; Vol. 41; iss. 7; pp. 1500 - 1502
Power-performance exploration for a low rate pulsed UWB receiver Nick Van Helleputte, Marian Verhelst, Wim Vereecken, Wim Dehaene, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2006 RFIC; 2006
Improved EMI filtering current mirror structure requiring reduced capacitance Jean-Michel Redouté and Michel Steyaert · Journal Article · 2006 Electronics Letters ; 2006; Vol. 42; iss. 10; pp. 560 - 561
Interference and distortion in pulsed Ultra Wideband receivers Wim Vereecken and Michiel SJ Steyaert · Conference Proceeding · 2006 2006 IEEE INTERNATIONAL CONFERENCE ON ULTRA-WIDEBAND, VOLS 1 AND 2; 2006; pp. 529 - +
An injection-locked upconversion mixer Wim Laflere and Michel Steyaert · Conference Proceeding · 2006 The European Microwave Association ; 2006; pp. 167 - 172
Low-power low-voltage sigma-delta modulators in nanometer CMOS Libin Yao, Michel Steyaert, and Willy Sansen · Book Chapter · 2006 The international series in engineering and computer science, volume 868; 2006
High-speed A/D converters, automotive electronics and ultra-low power wireless A van Roermund, H Casier, and Michel Steyaert · Book Chapter · 2006 Analog Circuit Design ; 2006; pp. 1 - 411
RF Circuits: Wide band, front-ends, DACs design methodology and verification for RF and mixed-signal systems low power and low voltage Michel Steyaert, A van Roermund, and J Huijsing · Book Chapter · 2006 Analog Circuit Design; 2006
Analog interface circuits Michel Steyaert, Willem Laflere, and Wim Vereecken · Book Chapter · 2006 Philips Research Book Series : Amlware - Hardware Techology drivers of ambient intelligence ; 2006; pp. 203 - 222
Analog Interface Circuits - The limit for AmI applications Michiel Steyaert, Willem Laflere, and Wim Vereecken · Conference Proceeding · 2006 AMIWARE: HARDWARE TECHNOLOGY DRIVERS OF AMBIENT INTELLIGENCE; 2006; Vol. 5; pp. 203 - +
Continuous-time Sigma-Delta A/D Converters for Feedforward and Feedback Compensation Raf Schoofs, Willy Sansen, and Michel Steyaert · Conference Proceeding · 2006 ISCCSP; 2006
RF Power Amplifiers for Mobile Communications Patrick Reynaert and Michel Steyaert · Book · 2006
An I/Q based CMOS Pulsed Ultra Wideband Receiver Front End for 3.1 to 10.6 GHz band Wim Vereecken and Michel Steyaert · Conference Proceeding · 2006 IEEE Asian Solid-State Circuits Conference; 2006; pp. 255 - +
Current mirror structure insensitive to conducted EMI Jean-Michel Redouté and Michel Steyaert · Journal Article · 2005 Electronics Letters ; 2005; Vol. 41; iss. 21; pp. 1145 - 1146
An improved current mirror structure insensitive to conducted EMI Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2005 Proceedings of the EMC Europe Workshop 2005 ; 2005; pp. 303 - 306
A 0.18 um CMOS switched capacitor voltage modulator Koen Cornelissens, Patrick Reynaert, and Michel Steyaert · Conference Proceeding · 2005 European Solid-State Circuits Conference ; 2005; pp. 375 - 378
A 1.75GHz GSM-EDGE Polar Modulated CMOS RF Power Amplifier Patrick Reynaert and Michel Steyaert · Conference Proceeding · 2005 IEEE International Solid-State Circuits Conference ; 2005; Vol. 48; pp. 312 - 313
Mixed-signal CMOS RF integrated circuits Michel Steyaert and Patrick Reynaert · Book Chapter · 2005 Article in Encyclopedia of RF and Microwave Engineering ; 2005; pp. 3095 - 3102
Current-steering DAC design for high-speed continuous-time sigma-delta A/D converters Raf Schoofs, Michel Steyaert, and Willy Sansen · Conference Proceeding · 2005 Conference on Design of Circuits and Integrated Systems; 2005
A high-speed open-loop residue amplifier for pipeline AD converters Wim Vereecken and Michel Steyaert · Conference Proceeding · 2005 IEE International Conference on ADDA; 2005
High voltage design techniques in a standard CMOS technology Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2005 IEE International Conference on ADDA; 2005
A 7.5 mW, 11-bit continuous-time Delta-Sigma A/D converter for WLAN applications Raf Schoofs, Michel Steyaert, and Willy Sansen · Other · 2005
LNA-ESD co-design for fully integrated CMOS wireless receivers Paul Leroux and Michel Steyaert · Book · 2005