Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Design and Implementation of a Multi-Standard Event-Driven Energy Management System For Smart Buildings Iman Khajenasiri, Edoardo Patti, Marco Jahn, Andrea Acquaviva, Marian Verhelst, Enrico Macii, and Georges Gielen · Conference Proceeding · 2014 2014 IEEE 3RD GLOBAL CONFERENCE ON CONSUMER ELECTRONICS (GCCE); 2014; pp. 20 - 21
A 60GHz transmitter in 40nm CMOS achieving mm-precision for discrete-carrier localization Paramartha Indirayanti Wisnuputri, Tuba Ayhan, Marian Verhelst, Wim Dehaene, and Patrick Reynaert · Conference Proceeding · 2014 ESSCIRC ; 2014; pp. 291 - 294
Ultra-low-power voice-activity-detector through context- and resource-cost-aware feature selection in decision trees Steven Lauwereins, Wannes Meert, Jort Gemmeke, and Marian Verhelst · Conference Proceeding · 2014 Machine Learning for Signal Processing, IEEE Workshop on ; 2014; pp. 70 - 70
Hoger inschrijvingsgeld is wetenschappelijk onverantwoord Jelle Haemers, Koenraad Brosens, Wim Thielemans, Liesbet Geris, Violet Soen, Tine Huyse, Jan Aerts, Dorien Van De Mieroop, Hans Op de Beeck, Marian Verhelst, and Noel B Salazar · Text Resource · 2014 De Morgen ; 2014; iss. 18
A 128∶2048/1536 point FFT hardware implementation with output pruning Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2014 Signal Processing Conference (EUSIPCO), 2014 Proceedings of the 22nd European ; 2014; pp. 266 - 270
A remotely-powered, 20 Mb/s, 5.35 pJ/bit impulse-UWB WSN tag for cm-accurate-localization sensor networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Cedric Walravens, Soheil Radiom, Marian Verhelst, Michiel Steyaert, Wim Dehaene, and Georges Gielen · Journal Article · 2014 Analog Integrated Circuits and Signal Processing ; 2014; Vol. 80; iss. 3; pp. 531 - 540
Chemically enhanced double-gate bilayer graphene field-effect transistor with neutral channel for logic applications Amirhasan Nourbakhsh, Tarun Kumar Agarwal, Alexander Klekachev, Inge Asselberghs, Mirco Cantoro, Cedric Huyghebaert, Marc Heyns, Marian Verhelst, Aaron Thean, and Stefan De Gendt · Journal Article · 2014 Nanotechnology ; 2014; Vol. 25; iss. 34; pp. 345203 - 1
Energy and accuracy in multi-stage stochastic computing Bert Moons and Marian Verhelst · Conference Proceeding · 2014 IEEE conference on New Circuits and Systems (NEWCAS) ; 2014; pp. 197 - 200
Low-Energy UWB Transceiver Implementation for Smart Home Energy Management Iman Khajenasiri, Peng Zhu, Marian Verhelst, and Georges Gielen · Conference Proceeding · 2014 IEEE International Symposium on Consumer Electronics ; 2014; Vol. 18; pp. 258 - 259
Efficient duty-cycle mismatch compensation in digital transmitter Chunshu Li, Meng Li, Mark Ingels, Marian Verhelst, Liesbet Van der Perre, X Zhang, Andre Bourdoux, Joris Van Driessche, and Sofie Pollin · Conference Proceeding · 2014 ICASSP ; 2014; Vol. 2014; pp. 4998 - 5002
20.1 A 40nm CMOS receiver for 60GHz discrete-carrier indoor localization achieving mm-precision at 4m range T Redant, T Ayhan, N De Clercq, M Verhelst, P Reynaert, and W Dehaene · Conference Proceeding · 2014 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2014; Vol. 57; pp. 342 - 343
Impact of multipath fading on a precise 60 GHz indoor locationing system Tuba Ayhan, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2014 Signal Processing and Communications Applications Conference (SIU) ; 2014; pp. 1841 - ...
A 40nm CMOS Receiver for 60GHz Discrete-Carrier Indoor Localization Achieving mm-Precision at 4m Range Tom Redant, Tuba Ayhan, Nico De Clercq, Marian Verhelst, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2014 Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International ; 2014; Vol. 57; pp. 342 - 343
Bilayer graphene tunneling-FET for sub-0.2 V digital CMOS logic applications Tarun Agarwal Kumar, Amirhasan Nourbakhsh, Praveen Raghavan, Iuliana Radu, Marian Verhelst, Stefan De Gendt, Marc Heyns, and Aaron Thean · Journal Article · 2014 IEEE Electron Device Letters ; 2014; Vol. 35; iss. 12; pp. 1308 - 1310
A 128 similar to 2048/1536 POINT FFT HARDWARE IMPLEMENTATION WITH OUTPUT PRUNING Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2014 2014 PROCEEDINGS OF THE 22ND EUROPEAN SIGNAL PROCESSING CONFERENCE (EUSIPCO); 2014; pp. 266 - 270
Investigation of Chip-to-Chip Interconnections for Memory-Logic Communication on 3D Interposer Technology C Roda Neve, J Ryckaert, G Van der Plas, M Detalle, E Beyne, N Pantano, and M Verhelst · Conference Proceeding · 2014 2014 IEEE 18TH WORKSHOP ON SIGNAL AND POWER INTEGRITY (SPI); 2014; pp.
Context- and cost-aware feature selection in ultra-low-power sensor interfaces Steven Lauwereins, Komail Badami, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2014 European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning ; 2014; pp. 93 - 98
Computationally-efficient compressive sampling for low-power pulseoximeter system Venkata Rajesh Pamula, Marian Verhelst, Refet Firat Yazicioglu, and Chris Van Hoof · Conference Proceeding · 2014 IEEE Conference on Biomedical Circuits and Systems - BioCAS ; 2014; pp. 69 - 72
Predictive sensing in analog-to-digital converters for biomedical applications Jelle Van Rethy, Maarten De Smedt, Marian Verhelst, and Georges Gielen · Conference Proceeding · 2013 2013 International Symposium on Signals, Circuits and Systems (ISSCS); 2013; pp.
Context- and cost-aware feature selection in ultra-low-power sensor interfaces Komail Badami, Wannes Meert, and Marian Verhelst · Other · 2013 Proceedings of the Workshop on Resource Efficient Machine Learning (online); 2013; pp.
A method for using sub-Nyquist sampling for ultra low-power positioning systems Tuba Ayhan, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2013 Signal Processing Systems (SiPS), 2013 IEEE Workshop on ; 2013; pp. 100 - 105
A Programmable Calibration/BIST Engine for RF and Analog Blocks in SoCs Integrated in a 32 nm CMOS WiFi Transceiver J Carballido, J Hermosillo, A Veloz, D Arditti, A Del Rio, E Borrayo, M Guzman, H Lakdawala, and Marian Verhelst · Journal Article · 2013 IEEE Journal of Solid-State Circuits; 2013; Vol. 48; iss. 7; pp. 1669 - 1679
Two-channel receiver back-end using statistically calibrated HRM with >70dB 3rd and 5th harmonic rejection for carrier aggregation in 32nm CMOS E Alpman, Marian Verhelst, and H Lakdawala · Conference Proceeding · 2013 IEEE Symposium on VLSI Circuits, Digest of Technical Papers; 2013
Adaptive filter based low complexity digital intensive harmonic rejection for sdr receiver Chunshu Li, Min Li, Marian Verhelst, Sofie Pollin, André Bourdoux, and Liesbet Van der Perre · Conference Proceeding · 2013 IEEE International Conference on Acoustics, Speech, and Signal Processing - ICASSP ; 2013; pp. 2712 - 2715
Efficient self-correction scheme for static non-idealities in nano-scale quadrature rf transmitters Chunshu Li, Min Li, Mark Ingels, Xiaoqiang Zhang, Marian Verhelst, Sofie Pollin, Joris Van Driessche, André Bourdoux, and Liesbet Van der Perre · Conference Proceeding · 2013 IEEE Workshop on Signal Processing Systems - SiPS ; 2013; pp. 71 - 76
A 32nm SoC with dual core ATOM® processor and RF WiFi transceiver H Lakdawala, M Schaecher, CT Fu, R Limaye, J Duster, Y Tan, A Balankutty, E Alpman, C Lee, K Minh Nguyen, HJ Lee, A Ravi, S Suzuki, B Carlton, HS Kim, Marian Verhelst, S Pellerano, T Kim, S Venkatesan, D Srivastava, P Vandervoorn, J Rizk, Ch Soumyanath, R Yavatkar, and S Ramamurthy · Journal Article · 2013 IEEE Journal of Solid-State Circuits; 2013; Vol. 48; iss. 1; pp. 91 - 103
Predictive sensing in analog-to-digital converters for biomedical applications Jelle Van Rethy, Maarten De Smedt, Marian Verhelst, and Georges Gielen · Conference Proceeding · 2013 2013 INTERNATIONAL SYMPOSIUM ON SIGNALS, CIRCUITS AND SYSTEMS (ISSCS); 2013; pp.
Flexible, Ultra-Low Power Sensor Nodes through Configurable Finite State Machines JC Peña Ramos and Marian Verhelst · Conference Proceeding · 2013 Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013 8th International Workshop on ; 2013; pp. 1 - 7
2.4GHz 20-40MHz Channel WLAN Digital Outphasing Transmitter Utilizing a Delay-Based Wideband Phase Modulator in 32nm CMOS A Ravi, P Madoglio, H Xu, K Chandrashekar, Marian Verhelst, S Pellerano, L Cuellar, M Aguirre, M Sajadieh, J Zarate, O Degani, H LakdawalaH, and Y Palaskas · Journal Article · 2012 IEEE Journal of Solid-State Circuits; 2012; Vol. 47; iss. 12; pp. 3184 - 3196
Reduced Complexity On-chip IQ-Imbalance Self-Calibration Chunshu Li, Min Li, Sofie Pollin, Bjorn Debaillie, Marian Verhelst, Liesbet Van der Perre, and Rudy Lauwereins · Conference Proceeding · 2012 Proceedings of IEEE Workshop on Signal Processing Systems (SIPS) ; 2012; pp. 31 - 36
TOWARDS A FAST AND HARDWARE EFFICIENT SUB-MM PRECISION RANGING SYSTEM Tuba Ayhan, Tom Redant, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2012 IEEE Workshop on Signal Processing Systems (SiPS), 2012 ; 2012; pp. 203 - 208
A Generic Framework for Optimizing Digital Intensive Harmonic Rejection Receivers Chunshu Li, Min Li, Marian Verhelst, Andre Bourdoux, Jonathan Borremans, Sofie Pollin, Allessandro Chiumento, Liesbet Van der Perre, and Rudy Lauwereins · Conference Proceeding · 2012 Proceedings of IEEE Workshop on Signal Processing Systems (SIPS) ; 2012; pp. 167 - 172
An Ultra-Low-Power, Batteryless Microsystem for Wireless Sensor Networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Soheil Radiom, Nick Van Helleputte, Cedric Walravens, Zheng Li, Michiel Steyaert, Marian Verhelst, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2012 Procedia Engineering ; 2012; Vol. 47; pp. 1406 - 1409
Built-in-Self Test of Transmitter I/Q Mismatch Using Self-Mixing Envelope Detector Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, and Mustapha Slamani · Conference Proceeding · 2012 Proceedings of the VLSI test symposium ; 2012; pp. 56 - 61
Untitled Marian Verhelst · Journal Article · 2012 Electronics Letters; 2012; Vol. 48; iss. 6; pp. 300 - 300
Area scaling analysis of CMOS ADCs Marian Verhelst and Boris Murmann · Journal Article · 2012 Electronics Letters ; 2012; Vol. 48; iss. 6; pp. 314 - ...
32nm x86 OS compliant PC on chip with dual core ATOM® processor and RF WiFi transceiver Hasnain Lakdawala, Mark Schaecher, Chang-tsung Fu, Rahul Limaye, Jon Duster, Yulin Tan, Ajay Balankutty, Erkan alpmann, Chun C Lee, Satoshi Suzuki, Brent Carlton, Hyung Seok Kim, Marian Verhelst, Tong Kim, Durgesh Vengkatesan, Peter Vandervoorn, Jad Rizk, Chia-Hong Jan, Krishnamurthy Soumyanath, and Sunder Ramamurthy · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 62 - 63
A 20dBm 2.4GHz Digital Outphasing Transmitter for WLAN Application in 32nm CMOS Paulo Madoglio, Ashoke Ravi, Hongtao Xu, Kailash Chandrashekar, Marian Verhelst, Stefano Pellerano, Luis Cuellar, Mariano Aguirre, Masoud Sajadieh, Ofir Degani, Hasnain Lakdawala, and Yorgos Palaskas · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 168 - 169
A Power-Scalable Channel-Adaptive Wireless Receiver Based on Built-In Orthogonally Tunable LNA Shreyas Sen, D Banerjee, Marian Verhelst, and A Chatterjee · Journal Article · 2012 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2012; Vol. 59; iss. 5; pp. 946 - 957
A low computation complexity method for IQ imbalance estimation Chunshu Li, Min Li, Sofie Pollin, Bjorn Debaillie, Marian Verhelst, Liesbet Van der Perre, and Rudy Lauwereins · Conference Proceeding · 2012 33rd WIC Symposium on Information Theory in the Benelux- 2nd joint WIC/IEEE SP Symposium on Information Theory and Signal Proc ; 2012; pp. 84 - 91
Test Signal Development and Analysis for OFDM systems RF Front-end Parameter Extraction Afsaneh Nassery, Osman Erol, Sule Ozev, and Marian Verhelst · Journal Article · 2012 IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; 2012; Vol. 31; iss. 6; pp. 958 - 967
Digital Multi-radio : Path towards SoC integration Marian Verhelst · Other · 2011
Multi-radio integration into scaled CMOS SoCs Marian Verhelst · Conference Proceeding · 2011 2011 7th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2011 - Conference Proceedings; 2011; pp. 1 - 4
A 2.5GHz delay-based wideband OFDM outphasing modulator in 45nm-LP CMOS Ashoke Ravi, Paolo Madoglio, Marian Verhelst, Masoud Sajadieh, Mariano Aguirre, Hongtao Xu, Stefano Pellerano, I Lomeli, J Zarate, Luis Cuellar, Ofir Degani, Hasnain Lakdawala, K Soumyanath, and Yorgos Palaskas · Conference Proceeding · 2011 VLSI symposium; 2011; pp. 26 - 27
Embedded Security in Wireless SoC: New Paradigm for Radio Adaptation and Bug-fixing Marian Verhelst, Men Long, and Jorge Hemosillo · Other · 2011 Research at Intel showcase; 2011
Orthogonally Tunable Inductorless RF LNA for Adaptive Wireless Systems Shreyas Sen, Marian Verhelst, and A Chatterjee · Conference Proceeding · 2011 2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS); 2011; pp. 285 - 288
Extraction of EVM from Transmitter System Parameters Afsaneh Nassery, Sule Ozev, Marian Verhelst, and M Slamani · Conference Proceeding · 2011 Proceedings of the European Test Symposium ; 2011; pp. 75 - 80
Programmable engine for self-test and self-calibration to enable smooth integration of RF on SOCs Marian Verhelst, Jorge Hermosillo, and Arturo Veloz · Other · 2011 Intel Wireless Conference; 2011
Power Consumption Minimization for MIMO Systems - A Cognitive Radio Approach An He, Srikathyayani Srikanteswara, Kyung Kyoon Bae, Timothy R Newman, Jeffrey H Reed, William H Tranter, Masoud Sajadieh, and Marian Verhelst · Journal Article · 2011 IEEE Journal on Selected Areas in Communications ; 2011; Vol. 29; iss. 2; pp. 469 - 479
Considerations for Cost-Efficient Calibration of Scaled ADCs Marian Verhelst, Erkan Alpman, and Hasnain Lakdawala · Conference Proceeding · 2011 Analog Circuit Design - Low Voltage Low Power; Short Range Wireless Front-Ends; Power Management and DC-DC, AACD 2011; 2011; pp. 89 - 117
Systematic Analysis of Interleaved Digital-to-Analog Converters Siddharth Balasubramanian, G Creech, J Wilson, SM Yoder, JJ McCue, Marian Verhelst, and Waleed Khalil · Journal Article · 2011 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2011; Vol. 58; iss. 12; pp. 882 - 886
Patent: Hardware-efficient on-chip calibration of analog/RF through sub-sampling (US9194911) Yorgos Palaskas, Jorge Hermosillo, and Marian Verhelst · Other · 2011
Patent: Programmable Engine Having a Reconfigurable Accelerator Data Path for Testing and Calibration of Analog Front Ends in Radio Devices Marian Verhelst, Hasnain Lakdawala, Krishnamurthy Soumyanath, and Masoud Sajadieh · Other · 2010
A Reconfigurable, 130 nm CMOS 108 pJ/pulse, Fully Integrated IR-UWB Receiver for Communication and Precise Ranging Nick Van Helleputte, Marian Verhelst, Wim Dehaene, and Georges Gielen · Journal Article · 2010 IEEE Journal of Solid-State Circuits; 2010; Vol. 45; iss. 1; pp. 69 - 83
RFID, Where are they? W Dehaene, G Gielen, M Steyaert, H Danneels, V Desmedt, C De Roover, Z Li, M Verhelst, N Van Helleputte, S Radiom, C Walravens, and L Pleysier · Conference Proceeding · 2009 ESSDERC 2009 - Proceedings of the 39th European Solid-State Device Research Conference; 2009; pp. 56 - 63
System Power Consumption Minimization for Multichannel Communications Using Cognitive Radio An He, Srikatyayani Srikanteswara, K Kyoon Bae, TR Newman, JH Reed, WH Tranter, Masoud Sajadieh, and Marian Verhelst · Conference Proceeding · 2009 IEEE INTERNATIONAL CONFERENCE ON MICROWAVES, COMMUNICATIONS, ANTENNAS AND ELECTRONICS SYSTEMS (COMCAS 2009); 2009; pp.
A reconfigurable, 0.13μm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging M Verhelst, N Van Helleputte, G Gielen, and W Dehaene · Conference Proceeding · 2009 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2009
Energy Scalable Radio Design for Pulsed UWB Communication and Ranging Introduction and Motivation Marian Verhelst and Wim Dehaene · Book Chapter · 2009 ENERGY SCALABLE RADIO DESIGN: FOR PULSED UWB COMMUNICATION AND RANGING; 2009; pp. 1 - +
RFID, WHere are they ? Wim Dehaene, Georges Gielen, Michel Steyaert, Hans Danneels, Valentijn De Smedt, Christophe De Roover, Zheng Li, Marian Verhelst, Nick Van Helleputte, Soheil Radiom, Cedric Walravens, and L Pleysier · Conference Proceeding · 2009 Proceedings of ESSCIRC 2009; 2009; pp. 37 - 44
Power Consumption Minimization for MIMO Systems Using Cognitive Radio An He, Srikayayani Srikanteswara, K Kyoon Bae, TR Newman, JH Reed, WH Tranter, Masoud Sajadieh, and Marian Verhelst · Conference Proceeding · 2009
A reconfigurable, 0.13µm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging Marian Verhelst, Nick Van Helleputte, Georges Gielen, and Wim Dehaene · Other · 2009 ISSCC; 2009; pp. 250 - 251
Energy Scalable Radio Design: for Pulsed UWB Communication and Ranging Marian Verhelst and Wim Dehaene · Book · 2009
Analysis of the QAC IR-UWB receiver for low energy, low data-rate communication Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2008; Vol. 55; iss. 8; pp. 2423 - 2432
A flexible, ultra-low-energy 35 pJ/pulse digital back-end for a QAC IR-UWB receiver Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE journal of solid-state circuits ; 2008; Vol. 43; iss. 7; pp. 1677 - 1687
A Low Power, Reconfigurable IR-UWB System Marian Verhelst, J Ryckaert, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2008 Communications, 2008. ICC '08. IEEE International Conference on ; 2008; pp. 3770 - 3774
A low-power mixing DAC IR-UWB receiver Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, B Boury, Wim Dehaene, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 2697 - 2700
a CMOS ultra-wideband receiver for low data-rate communication J Ryckaert, Marian Verhelst, M Badaroglu, S D' Amico, V De Heyn, C Desset, P Nuzzo, B Van Poucke, P Wambacq, A Basschiroto, Wim Dehaene, and G Vanderplas · Journal Article · 2007 IEEE Journal of Solid-State Circuits ; 2007; Vol. 42; iss. 11; pp. 2515 - 2527
A flexible, ultra-low power 35pJ/pulse digital back-end for a QAC UWB receiver Marian Verhelst and Wim Dehaene · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC) ; 2007; pp. 236 - 239
System design of an IEEE 802.16.4a-compilant, merged smalband/ultra-wideband.radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB) ; 2006; pp. 179 - 184
System design of an IEEE 802.15.4a-compliant, merged smallband/ultra-wideband radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 2006 IEEE International Conference on Ultra-Wideband, Vols 1 and 2 ; 2006; pp. 243 - 248
Power-performance exploration for a low rate pulsed UWB receiver Nick Van Helleputte, Marian Verhelst, Wim Vereecken, Wim Dehaene, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2006 RFIC; 2006
Complex analog correlating pulsed UWB-receiver in realistic 0-1GHz channels Marian Verhelst and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Wireless Broadband and Ultra-Wideband Communications; 2006; pp. 27 - 32
Design of an energy-efficient pulsed UWB receiver Marian Verhelst, Wim Vereecken, Nick Van Helleputte, Georges Gielen, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2006 ANALOG CIRCUIT DESIGN; 2006; pp. 303 - 330
System design of an ultra-low power, low data rate, pulsed UWB receiver in the 0-960 MHz band Marian Verhelst and Wim Dehaene · Conference Proceeding · 2005 International Conference on Communications; 2005; Vol. 4; pp. 2812 - 2817
System design of an ultra-low power, low data rate, pulsed UWB receiver in the 0-960MHz band M Verhelst and Wim Dehaene · Conference Proceeding · 2005 Icc 2005: ieee international conference on communications, vols 1-5 ; 2005; pp. 2812 - 2817
Aanvallen op een Cryptografische Chip via een Achterpoortje Nele Mentens, Pieter Rommens, and Marian Verhelst · Journal Article · 2005 Het Ingenieursblad ; 2005; Vol. 2005; iss. 1; pp. 54 - 59
Architectures for Low Power Ultra-Wideband Radio Receivers in the 3.1-5.0GHz Band for Data Rates < / 10Mbps Marian Verhelst, Wim Vereecken, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2004 International Symposium on Low Power Electronics and Design ; 2004; pp. 280 - 285
Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < / 10Mbps M Verhelst, Wim Vereecken, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2004 Islped '04: proceedings of the 2004 international symposium on low power electronics and design ; 2004; Vol. 2004-January; iss. January; pp. 280 - 285