Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Measuring teachers’ attitudes toward teaching integrated STEM: instrument development and validation Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Other · 2017
EMI resisting low-EME SENT drivers in 0.18μm CMOS B Baran, H Pues, K Stijnen, and W Dehaene · Conference Proceeding · 2017 Proceedings of the 2017 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits, EMCCompo 2017; 2017; pp. 69 - 72
How social, natural and applied scientists collide and connect: a story about interdisciplinarity put into practice in Flemish schools Heidi Knipprath, Jelle Boeve-de-Pauw, Stijn Ceuppens, Mieke De Cock, Wim Dehaene, Haydée De Loof, Jolien De Meester, Fien Depaepe, Leen Goovaerts, Luc Hellinckx, Annemie Struyf, Lieve Thibaut, Didier van de Velde, and Peter Van Petegem · Other · 2017
Dedicated Technology Threshold voltage tuning for 6T SRAM beyond N7 Mohit Kumar Gupta, Pieter Weckx, Stefan Cosemans, Pieter Schuddinck, Rogier Baert, Doyoung Jang, Yasser Sherazi, Praveen Raghavan, Alessio Spessot, Anda Mocuta, and Wim Dehaene · Conference Proceeding · 2017 2017 IEEE INTERNATIONAL CONFERENCE ON IC DESIGN AND TECHNOLOGY (ICICDT); 2017; pp. 1 - 4
A Differential Transmission Gate Design Flow for Minimum Energy Sub-10-pJ/Cycle ARM Cortex-M0 MCUs Hans Reyserhove and Wim Dehaene · Journal Article · 2017 IEEE Journal of Solid-State Circuits ; 2017; Vol. 52; iss. 7; pp. 1904 - 1914
Introduction to the Special Issue on the 46th European Solid-State Circuits Conference (ESSCIRC) Eugenio Cantatore, Wim Dehaene, and Robert Bogdan Staszewski · Journal Article · 2017 IEEE Journal Of Solid-State Circuits; 2017; Vol. 52; iss. 7; pp. 1700 - 1702
Procesevaluatie binnen STEM@school: stand van zaken Leen Goovaerts, Katrien Struyven, Mieke De Cock, and Wim Dehaene · Other · 2017
Geïntegreerd STEM-onderwijs in de praktijk Heidi Knipprath, Jolien De Meester, Mieke De Cock, Jelle Boeve-de-Pauw, Stijn Ceuppens, Wim Dehaene, Haydee De Loof, Fien Depaepe, Leen Goovaerts, Luc Hellinckx, Annemie Struyf, Lieve Thibaut, Didier van de Velde, and Peter van Petegem · Other · 2017
SRAM enablement beyond N7: a BTI study Mohit Kumar Gupta, Pieter Weckx, Stefan Cosemans, Pieter Schuddinck, Rogier Baert, Doyoung Jang, Yasser Sherazi, Praveen Raghavan, Ben Kaczer, Alessio Spessot, Anda Mocuta, and Wim Dehaene · Conference Proceeding · 2017 IEEE International Reliability Physics Sysmposium - IRPS ; 2017; pp. 4 - ...
Highly broadband circular polarized patch antenna with 3 phase feed structure Robin Theunis, Maarten Baert, Paul Leroux, and Wim Dehaene · Conference Proceeding · 2017 2017 11th European Conference on Antennas and Propagation; 2017; pp. 2197 - 2200
Development and Validation of an Instrument for Measuring Teachers’ Attitudes Toward Teaching Integrated Stem Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Text Resource · 2017
Design of an integrated STEM curriculum Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Text Resource · 2017
Multidisciplinary Learning through Implementation of the DVB-S2 Standard Yuri Murillo Mange, Bertold Van den Bergh, Jona Beysens, Alexander Bertrand, Wim Dehaene, Panos Patrinos, Tinne Tuytelaars, Ruth Sabariego, Marian Verhelst, Patrick Wambacq, and Sofie Pollin · Journal Article · 2017 IEEE Communications Magazine; 2017; Vol. 55; iss. 5; pp. 124 - 130
Flexible Selfbiased 66.7nJ/c.s. 6bit 26S/s successive-approximation C-2C ADC with offset cancellation using unipolar metal-oxide TFTs Nikolas Papadopoulos, Florian De Roose, Yi-Cheng Lai, Jan-Laurens van der Steen, Marc Ameys, Wim Dehaene, Jan Genoe, and Kris Myny · Conference Proceeding · 2017 IEEE Custom Integrated Circuits Conference - CICC; 2017; Vol. 2017-April; pp.
Geïntegreerde STEM: EHBO (Eerste Hulp Bij Ontwikkelen) Jolien De Meester, Greet Langie, Mieke De Cock, and Wim Dehaene · Other · 2017
Power saving through state retention in IGZO-TFT AMOLED displays for wearable applications Soeren Steudel, Jan-Laurens PJ van der Steen, Manoj Nag, Tung Huei Ke, Steve Smout, Thijs Bel, Karin van Diesen, Gerard de Haas, Joris Maas, Joris de Riet, Madelon Rovers, Roy Verbeek, Yen-Yu Huang, Shin-Chuan Chiang, Marc Ameys, Florian De Roose, Wim Dehaene, Jan Genoe, Paul Heremans, Gerwin Gelinck, and Auke Jisk Kronemeijer · Journal Article · 2017 Journal of the Society for Information Display ; 2017; Vol. 25; iss. 4; pp. 222 - 228
De rol van de leerkracht in de STEM-leeromgeving Annemie Struyf, Lieve Thibaut, Jelle Boeve-de-Pauw, Wim Dehaene, Fien Depaepe, Heidi Knipprath, and Peter van Petegem · Other · 2017
DVAFS: Trading Computational Accuracy for Energy Through Dynamic-Voltage-Accuracy-Frequency-Scaling Bert Moons, Roel Uytterhoeven, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2017 Design, Automation and Test in Europe (DATE) ; 2017; Vol. 20; pp. 488 - 493
Thin and spherical-cap-shaped LCD with a flexible thin-film driver for use in a smart contact lens Herbert De Smet, Florian De Roose, Soeren Steudel, Kris Myny, Myriam Willegems, Steve Smout, Marc Ameys, Pawel Malinowski, Robert Gehlhaar, Radhika Poduval, Xinyu Chen, Jelle De Smet, Andres Vasquez Quintero, Wim Dehaene, and Jan Genoe · Conference Proceeding · 2017
Massive MIMO Processing at the Semiconductors Edge: Exploiting the System and Circuit Margins for Power Savings Yanxiang Huang, Claude Desset, Andre Bourdoux, Wim Dehaene, and Liesbet Van der Perre · Conference Proceeding · 2017 Proceedings of the 42nd IEEE International Conference on Acoustics, Speech and Signal Processing; 2017; pp. 3474 - 3478
STEM in het Secundair onderwijs Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Text Resource · 2017
Instumenten voor procesevaluatie in geïntegreerd STEM-onderwijs Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Text Resource · 2017
Envision: A 0.26-to-10 TOPS/W Subword-Parallel Dynamic-Voltage-Accuracy-Frequency-Scalable Convolutional Neural Network Processor in 28nm FDSOI Bert Moons, Roel Uytterhoeven, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2017 IEEE International Solid-State Circuits Conference (ISSCC) ; 2017; Vol. 60; pp. 246 - 247
A Flexible ISO14443-A Compliant 7.5mW 128b Metal-Oxide NFC Barcode Tag with Direct Clock Division Circuit from 13.56MHz Carrier Kris Myny, Yi-Cheng Lai, Nikolaos Papadopoulos, Florian De Roose, Marc Ameys, Myriam Willegems, Steve Smout, Soeren Steudel, Wim Dehaene, and Jan Genoe · Conference Proceeding · 2017 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2017; Vol. 60; pp. 258 - 258
High-speed Single Cable Synchronization System for Data-converters Nico De Clercq, Robin Theunis, Patrick Reynaert, Paul Leroux, and Wim Dehaene · Journal Article · 2017 Analog Integrated Circuits and Signal Processing; 2017; Vol. 90; iss. 2; pp. 283 - 290
Thematic poster: How school context and other factors relate to teachers’ attitudes toward teaching integrated STEM Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Other · 2017 EARLI 2017, Book of Abstracts; 2017
Integrated STEM makes a difference! Heidi Knipprath, Jolien De Meester, Jelle Boeve-de-Pauw, Stijn Ceuppens, Mieke De Cock, Wim Dehaene, Haydee De Loof, Fien Depaepe, Leen Goovaerts, Luc Hellinckx, Annemie Struyf, Lieve Thibaut, Didier Van de Velde, and Peter Van Petegem · Other · 2017
Mitigation of sense amplifier degradation using input switching Daniel Kraak, Innocent Agbo, Motta Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2017 20th ACM/IEEE Design and Test in Europe Conference - DATE ; 2017; pp. 858 - 863
Sense amplifier offset voltage mitigation under presence of BTI Daniel Kraak, Innocent Agbo, Motta Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2017 Workshop on Reliability, Security and Quality - RESCUE; 2017
Power saving through state retention in IGZO-TFT AMOLED displays for wearable applications Soeren Steudel, Jan-Laurens van der Steen, Manoj Nag, Tung Huei Ke, Steve Smout, Thijs BEL, Karin Van Diesen, Gerard de Haas, Joris Maas, Joris de Riet, Madelon Rovers, Roy Verbeek, Yen-Yu Huang, Shin-Chuan Chiang, Marc Ameys, Florian De Roose, Wim Dehaene, Jan Genoe, Paul Heremans, Gerwin Gelinck, and Auke Kronemeijer · Conference Proceeding · 2017 SID - Display Week ; 2017; pp. 37 - 41
Optica in een geïntegreerd STEM-curriculum: Museumbeveiliging Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Onderzoek naar specifieke topics binnen en tussen wiskunde en fysica: indicatieve resultaten Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Developing and implementing integrated STEM teaching and learning materials for optics Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Optica in een geïntegreerd STEM-curriculum: Museumbeveiliging Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
The effect of patterning options on embedded memory cells in logic technologies at iN10 and iN7 Raf Appeltans, Pieter Weckx, Praveen Raghavan, Ryan Ryoung han Kim, Gouri Sankar Kar, Arnaud Furnemont, Liesbet Van der Perre, and Wim Dehaene · Conference Proceeding · 2017 Design-Process-Technology Co-optimization for Manufacturability XI ; 2017; Vol. 10148; pp. 101480 - ...
Optica in een geïntegreerd STEM-curriculum: Museumbeveiliging Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Effects of an integrated STEM curriculum on linear function problems in physics and mathematics Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Representational fluency of linear relations in physics and mathematics Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Material-device-circuit co-optimization of 2D material based FETs for ultra-scaled technology nodes Tarun Kumar Agarwal, Bart Sorée, Iuliana Radu, Praveen Raghavan, Giuseppe Iannaccone, Gianluca Fiori, Wim Dehaene, and Marc Heyns · Journal Article · 2017 Scientific Reports ; 2017; Vol. 7; iss. 1; pp. 1 - 7
An 8-11 Bit 320kS/S Resolution Scalable Noise Shaping SAR ADC Thomas Bos, Komail Badami, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2017 2017 15th IEEE International New Circuits and Systems Conference ; 2017; Vol. 15; pp. 209 - 212
Material selection and device design guidelines for two-dimensional materials based TFETs Tarun Agarwal Kumar, Bart Soree, Iuliana Radu, Praveen Raghavan, Gianluca Fiori, Marc Heyns, and Wim Dehaene · Conference Proceeding · 2017 47th European Solid-State Device Research Conference - ESSDERC ; 2017; pp. 54 - 57
Benchmarking of monolithic 3D integrated MX2 FETs with Si FinFETs Tarun Agarwal Kumar, Aron Szabo, Marie Garcia Bardon, Bart Soree, Iuliana Radu, Praveen Raghavan, Mathieu Luisier, Wim Dehaene, and Marc Heyns · Conference Proceeding · 2017 2017 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM); 2017; pp. 131 - 134
Spectral-luminescent properties of meso-tetraarylporphyrins revisited: the role of aryl type, substitution pattern and macrocycle core protonation Irena V Vershilovskaya, Stefano Stefani, Pieter Verstappen, Thien H Ngo, Ivan G Scheblykin, Wim Dehaene, Wouter Maes, and Mikalai M Kruk · Journal Article · 2017 Macroheterocycles; 2017; Vol. 10; iss. 3; pp. 257 - 267
Design and implementation of optics teaching and learning materials in an integrated STEM Curriculum (Case Study) Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
Learning of physics and mathematics concepts in an integrated STEM curriculum Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2017
A smaller, faster and more energy-efficient complementary STT-MRAM cell uses three transistors and a ground grid: more is actually less Raf Appeltans, Praveen Raghavan, Gouri Sankar Kar, Arnaud Furnemont, Liesbet Van der Perre, and Wim Dehaene · Journal Article · 2017 IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; 2017; Vol. 25; iss. 4; pp. 1204 - 1214
An active artificial iris controlled by a 25-µW flexible driver Florian De Roose, Soeren Steudel, Kris Myny, Myriam Willegems, Steve Smout, Marc Ameys, Pawel E Malinowski, Robert Gehlhaar, Radhika Poduval, Xinyu Chen, Jelle De Smet, Andrés Vásquez Quintero, Herbert De Smet, Wim Dehaene, and Jan Genoe · Conference Proceeding · 2016 International Electron Device Meeting (IEDM) 2016 ; 2016; pp. 32 - ...
Assessment of STEM-design challenges: review and design Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Conference Proceeding · 2016 Key Competences in Physics Teaching and Learning ; 2016; pp. 45 - 51
STEM@school Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Text Resource · 2016
Design method and algorithms for directed self-assembly aware via layout decomposition in sub-7 nm circuits Ioannis Karageorgos, Julien Ryckaert, Roel Gronheid, Maryann C Tung, H-S Philip Wong, Evangelos Karageorgos, Kris Croes, Joost Bekaert, Geert Vandenberghe, Michele Stucchi, and Wim Dehaene · Journal Article · 2016 Journal of Micro-Nanolithography, MEMS, and MOEMS; 2016; Vol. 15; iss. 4; pp.
A 28 nm CMOS 7.04 Gsps polar digital front-end processor for 60 GHz transmitter Yanxiang Huang, Khaled Khalaf, André Bourdoux, Julien Verschueren, Qixian Shi, Piet Wambacq, Sofie Pollin, Wim Dehaene, and Liesbet Van der Perre · Conference Proceeding · 2016 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC); 2016; pp. 333 - 336
Hoe leerkrachten staan tegenover geïntegreerd STEM-onderwijs Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Other · 2016
Templated DSA vias in sub-7 nm circuits: Design strategy and DSA-aware via decomposition Ioannis Karageorgos, Julien Ryckaert, Roel Gronheid, Maryann C Tung, H-S Philip Wong, Evangelos Karageorgos, Joost Bekaert, Geert Vandenberghe, and Wim Dehaene · Other · 2016 Proc. DSA2016; 2016
Impact of DSA process variability on circuit performance Ioannis Karageorgos, Jan Doise, Paulina Rincon Delgadillo, Michele Stucchi, Rogier Baert, Roel Gronheid, Julien Ryckaert, Geert Vandenberghe, and Wim Dehaene · Conference Proceeding · 2016 Proc. DSA2016; 2016
Impact of DSA process variability on circuit performance Ioannis Karageorgos, Jan Doise, Paulina Rincon Delgadillo, Michele Stucchi, Rogier Baert, Roel Gronheid, Julien Ryckaert, Geert Vandenberghe, and Wim Dehaene · Other · 2016 Proc. DSA Symposium 2016; 2016; pp.
An OFDM based local positioning system Robin Theunis, Tuba Ayhan, Nico De Clercq, Paramartha Indirayanti, Tom Redant, Risang Gatot Yudanto, Johan Cockx, Frederik Petre, Patrick Reynaert, Marian Verhelst, Paul Leroux, and Wim Dehaene · Conference Proceeding · 2016 Indoor positioning and indoor navigation 2016 ; 2016; pp. 1 - 4
A 16.07pJ/cycle 31MHz Fully Differential Transmission Gate Logic ARM Cortex M0 core in 40nm CMOS Hans Reyserhove and Wim Dehaene · Conference Proceeding · 2016 Proceedings of the IEEE European Solid State Circuits Conference (ESSCIRC) ; 2016; Vol. 2016-October; pp. 257 - 260
Design Method for the Integration of DSA Via Patterning in sub-7 nm Circuits Ioannis Karageorgos, Julien Ryckaert, Kris Croes, C Tung, H-S Wong, Evangelos Karageorgos, Roel Gronheid, Joost Beckaert, Geert Vandenberghe, Michele Stucchi, and Wim Dehaene · Conference Proceeding · 2016 Proc. INC12; 2016
Design strategy for integrating DSA via patterning in sub-7 nm interconnects Ioannis Karageorgos, Julien Ryckaert, Maryann C Tung, Philip H-S Wong, Roel Gronheid, Joost Bekaert, Evangelos Karageorgos, Kris Croes, Geert Vandenberghe, Michele Stucchi, and Wim Dehaene · Conference Proceeding · 2016 Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X; 2016; Vol. 9781; pp.
Circuits for the internet of everything Wim Dehaene · Conference Proceeding · 2016
A Flexible Thin-Film Pixel Array with a Charge- to-Current Gain of 59μA/pC and 0.33% Nonlinearity and a Cost Effective Readout Circuit for Large-Area X-ray Imaging Florian De Roose, Kris Myny, Soeren Steudel, Myriam Willegems, Steve Smout, Tim Piessens, Jan Genoe, and Wim Dehaene · Conference Proceeding · 2016 2016 IEEE International Solid-State Circuits Conference (ISSCC) ; 2016; Vol. 59; pp. 296 - 297
Integrated STEM in secondary education: A case study Jolien De Meester, Heidi Knipprath, Jan Thielemans, Mieke De Cock, Greet Langie, and Wim Dehaene · Journal Article · 2016 Nuovo Cimento C: colloquia and communications in physics; 2016; Vol. 38; iss. 03; pp.
Comparison of short-channel effects in monolayer MoS2 based junctionless and inversion-mode field-effect transistors Tarun Agarwal Kumar, Bart Soree, Iuliana Radu, Praveen Raghavan, Gianluca Fiori, Giuseppe Iannaccone, Aaron Thean, Marc Heyns, and Wim Dehaene · Journal Article · 2016 Applied Physics Letters; 2016; Vol. 108; iss. 2; pp.
Resurrection of 5G: In defense of Massive MIMO Liesbet Van der Perre, Sofie Pollin, Wim Dehaene, Ove Edfors, Emil Bjornson, Fredrik Tufvesson, Liu Liang, Erik Larsson, Klaus-Michael Koch, Piet Demeester, Jan Rabaey, De Lorca Javier, Franz Dielacher, Claude Desset, Andre Bourdoux, and Tom Marzetta · Other · 2016
Read path degradation analysis in SRAM Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2016 21th IEEE European Test Symposium - ETS ; 2016; Vol. 2016-July; pp. 1 - 2
Optica in geïntegreerd STEM-onderwijs: ontwikkeling, implementatie en feedback Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2016
Integrated STEM teaching and learning materials in optics: development, implementation and teacher feedback Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2016
Iterating Von Neumann's post-processing under hardware constraints Vladimir Rozic, Bohan Yang, Wim Dehaene, and Ingrid Verbauwhede · Conference Proceeding · 2016 HST ; 2016; Vol. 2016; iss. 7495553; pp. 37 - 42
Effect of material parameters on two-dimensional materials based TFETs: an energy-delay perspective Tarun Agarwal Kumar, Iuliana Radu, Praveen Raghavan, Gianluca Fiori, Aaron Thean, Marc Heyns, and Wim Dehaene · Conference Proceeding · 2016 46th European Solid-State Device Research Conference - ESSDERC; 2016; Vol. 2016-October; pp. 47 - 50
Quantification of sense amplifier offset voltage degradation due to zero-and run-time variability Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2016 IEEE Computer Society Annual Symposium on VLSI - ISVLSI ; 2016; Vol. 2016-September; pp. 725 - 730
Integration of DSA Via Patterning in sub-7 nm Circuits Ioannis Karageorgos and Wim Dehaene · Book Chapter · 2016 ESAT-MICAS Annual Report 2015; 2016
TOTAL: TRNG on-the-fly testing for attack detection using lightweight hardware Bohan Yang, Vladimir Rozic, Nele Mentens, Wim Dehaene, and Ingrid Verbauwhede · Conference Proceeding · 2016 Design, Automation & Test in Europe Conference & Exhibition ; 2016; Vol. 2016; pp. 127 - 132
Students' representational fluency in linear function problems in physics and mathematics: does STEM integration help? Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Other · 2016
Massive MIMO: the scalable 5G technology Claude Desset, Steve Blandino, Liesbet Van der Perre, Emil Björnson, Erik Larsson, Bjorn Debaillie, André Bourdoux, Sofie Pollin, Wim Dehaene, Ove Edfors, Liang Liu, Fredrik Tufvesson, Franz Dielacher, Javier Lorca, Eleftherios Karipidis, Klaus-Michael Koch, and Tom Marzetta · Other · 2016 EuCNC; 2016
Fine-grained hardware switching scheme for power reduction in multiplication Yanxiang Huang, Chunshu Li, Mengling Li, Liesbet Van der Perre, and Wim Dehaene · Journal Article · 2016 Electronics Letters ; 2016; Vol. 52; iss. 16; pp. 1374 - 1375
Robust Design of Digital Circuits on Foil Kris Myny, Jan Genoe, and Wim Dehaene · Book · 2016
Dual-Gate Self-Aligned a-IGZO TFTs using 5-Mask Steps Manoj Nag, Florian De Roose, Ajay Sampath Bhoolokam, Kris Myny, Abhishek Kumar, Soeren Steudel, Jan Genoe, Wim Dehaene, Guido Groeseneken, and Paul Heremans · Conference Proceeding · 2015 Proceedings of the 22th International Display Workshop; 2015; Vol. 2015; pp. 239 - 241
New measurement system for inline basis weight monitoring: Clean millimeter, wave-based system targeting synthetic nonwovens showing market potential N Deferm, T Redant, F Cloppenburg, T Gries, W Dehaene, and P Reynaert · Journal Article · 2015 Nonwovens Industry; 2015; Vol. 46; iss. 12; pp. 48 - 50
Case Study on the Differences between EMI Resilience of Analog ICs against Continuous Wave, Modulated and Transient Disturbances Muhammet Burak Baran, Wim Dehaene, Hugo Pues, and Kristof Stijnen · Conference Proceeding · 2015 International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo) ; 2015; Vol. 10; pp. 207 - 211
Multi-standard wideband OFDM RF-PWM transmitter in 40nm CMOS Shailesh Kulkarni, Ibrahim Kazi, David Seebacher, Peter Singerl, Franz Dielacher, Wim Dehaene, and Patrick Reynaert · Conference Proceeding · 2015 Proceedings of ESSCIRC ; 2015; Vol. 41; pp. 88 - 91
Een nieuwe didactiek, richting abstract geïntegreerd STEM-onderwijs Jolien De Meester, Mieke De Cock, Heidi Knipprath, and Wim Dehaene · Journal Article · 2015 Impuls voor Onderwijsbegeleiding ; 2015; Vol. 46; iss. 1; pp. 3 - 11
Active Pixel Concepts for High-Resolution Large Area Imagers Florian De Roose, Soeren Steudel, Pawel E Malinowski, Kris Myny, Adi Xhakoni, Georges Gielen, Jan Genoe, and Wim Dehaene · Conference Proceeding · 2015 2015 International Image Sensor Workshop ; 2015; pp. 139 - 141
A mm-Precise 60 GHz Transmitter in 40 nm CMOS for Discrete-Carrier Indoor Localization Paramartha Indirayanti, Tuba Ayhan, Marian Verhelst, Wim Dehaene, and Patrick Reynaert · Journal Article · 2015 IEEE Journal of Solid-State Circuits ; 2015; Vol. 50; iss. 7; pp. 1604 - 1617
Impact of Interconnect Multiple-Patterning Variability on SRAMs Ioannis Karageorgos, Michele Stucchi, Praveen Raghavan, Julien Ryckaert, Zsolt Tokei, Diederik Verkest, Rogier Baert, Sushil Sakhare, and Wim Dehaene · Conference Proceeding · 2015 Proc. DATE ; 2015; Vol. 2015; pp. 609 - 612
On the effect of technology scaling on variation-resilient sub-threshold circuits Nele Reynders and Wim Dehaene · Journal Article · 2015 Solid-State Electronics ; 2015; Vol. 103; pp. 19 - 29
SC1:Circuit design in advanced CMOS technologies:How to design with lower suppy voltages Wim Dehaene · Conference Proceeding · 2015 ISSCC; 2015; pp. 1 - 2
Embedded HW/SW Platform for On-the-Fly Testing of True Random Number Generators Bohan Yang, Vladimir Rozic, Nele Mentens, Wim Dehaene, and Ingrid Verbauwhede · Conference Proceeding · 2015 Design, Automation and Test in Europe (DATE 2015); 2015; Vol. 2015-April; pp. 345 - 350
Highly Efficient Entropy Extraction for True Random Number Generators on FPGAs Vladimir Rozic, Bohan Yang, Wim Dehaene, and Ingrid Verbauwhede · Conference Proceeding · 2015 52nd Design Automation Conference (DAC 2015) ; 2015; Vol. 2015-July; pp. 1 - 6
Temperature- and Supply Voltage-Independent Time References for Wireless Sensor Networks Introduction Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 1 - 28
Jitter and Phase Noise in Oscillators Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 61 - 90
Long-term Oscillator Stability Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp. 91 - 135
Theoretical Background on Oscillators and Time References Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book Chapter · 2015 TEMPERATURE- AND SUPPLY VOLTAGE-INDEPENDENT TIME REFERENCES FOR WIRELESS SENSOR NETWORKS; 2015; Vol. 128; pp.
Temperature- and Supply Voltage-Independent Time References for Wireless Sensor Networks Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Book · 2015
Architectural Design Nele Reynders and Wim Dehaene · Book Chapter · 2015 ULTRA-LOW-VOLTAGE DESIGN OF ENERGY-EFFICIENT DIGITAL CIRCUITS; 2015; pp. 85 - 112
Integrated STEM in Secondary Education: a Case Study Jolien De Meester, Heidi Knipprath, Jan Thielemans, Mieke De Cock, Greet Langie, and Wim Dehaene · Conference Proceeding · 2015 Teaching/Learning in Physics: Integrating research into practice. Proceedings of the GIREP-MPTL 2014 International Conference ; 2015; pp. 1021 - 1028
Development of an Ultralow-Power Injection-Locked PSK Receiver Architecture Valentijn De Smedt, Georges Gielen, and Wim Dehaene · Journal Article · 2015 IEEE Transactions on Circuits and Systems 2, Express Briefs ; 2015; Vol. 62; iss. 1; pp. 31 - 35