Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Design of a frequency reference based on a PVT-independent transmission line delay Florian De Roose, Valentijn De Smedt, Wouter Volkaerts, Michiel Steyaert, Georges Gielen, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of the 2014 International Symposium on Circuits and Systems ; 2014; pp. 1772 - 1775
Highly sensitive, low-power, 10-20Gb/s transimpedance amplifier based on cascaded CMOS inverter gain stages Michal Rakowski, Mark Ingels, Kristin De Meyer, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2014 Optical Interconnects Conference 2014 ; 2014; pp. 115 - 116
Efficient optimization of fully-integrated inductive DC-DC converters comprising tapered inductor layout synthesis and temperature effects Piet Callemeyn, Dimitri De Jonghe, Georges Gielen, and Michiel Steyaert · Journal Article · 2014 Analog Integrated Circuits and Signal Processing; 2014; Vol. 78; iss. 1; pp. 111 - 121
Monitoring optical modulation amplitude using a low-power CMOS circuit for thermal control of Si ring transmitters Saurabh Agarwal, Mark Ingels, Michal Rakowski, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2014 European Conference on Optical Communication - ECOC ; 2014; pp. 1 - 3
A MGy Radiation-Hardened Sensor Instrumentation SoC in 65nm CMOS Technology Jens Verbeeck, Ying Cao, Marco Van Uffelen, Laura Mont Casellas, Carlo Damiani, Richard Meek, Bernhard Haist, Michiel Steyaert, and Paul Leroux · Other · 2014
A stacked full-bridge topology for high voltage DC-AC conversion in standard CMOS technology Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2013 Proceedings of the Asian solid-state circuits conference ; 2013; pp. 65 - 68
Electronic Dispersion Correction Circuit for Plastic Optical Fiber Channels Henk Motte, Olivier Chasles, Jean Pierre Goemaere, Nobby Stevens, Michiel Steyaert, and Lieven De Strycker · Conference Proceeding · 2013 Intelligent Signal Processing and Communications Systems (ISPACS), 2013 International Symposium on ; 2013; pp. 743 - 748
Linear Equalization Filter for PMMA Fiber Channels Jean Pierre Goemaere, Nobby Stevens, Michiel Steyaert, Henk Motte, Olivier Chasles, and Lieven De Strycker · Conference Proceeding · 2013 CAS 2013 Proceedings ; 2013; Vol. 2; pp. 207 - 210
17 bit 4.35mW 1kHz Sigma delta ADC and 256-to-1 multiplexer for remote handling instrumentation equipment Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Journal Article · 2013 Fusion Engineering and Design ; 2013; Vol. 88; iss. 9; pp. 1942 - 1946
Design of a MGy radiation tolerant resolver-to-digital convertor IC for remotely operated maintenance in harsh environments Paul Leroux, Wesley Van Koeckhoven, Jens Verbeeck, Marco Van Uffelen, Salvador Esqué, Roberto Ranz, Carlo Damiani, David Hamilton, and Michiel Steyaert · Other · 2013
A monolithic stacked class-D approach for high voltage DC-AC conversion in standard CMOS Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2013 Proceedings of the European solid-state circuits conference ; 2013; pp. 165 - 168
A 120GHz Fully Integrated 10Gb/s Wireless Transmitter with On-Chip Antenna in 45nm Low Power CMOS Noà L Deferm, Wouter Volkaerts, Juan Osorio, Anton de Graauw, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2013 Proceedings of the ESSCIRC ; 2013; Vol. 39; pp. 331 - 334
Development of a controller platform for educational projects: A case study Valentijn De Smedt, Hans De Clercq, Piet Callemeyn, Jelle Van Rethy, Maarten Tytgat, Jens Verbeeck, Bob Puers, Michiel Steyaert, Paul Leroux, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2013 Proceedings of SEFI Annual Conference 2013: Engineering education fast forward 1973 > 2013 >>; 2013; pp. 1 - 8
A 1.65W Fully Integrated 90nm Bulk CMOS Capacitive DC-DC Converter With Intrinsic Charge Recycling Hans Meyvaert, Tom Van Breussegem, and Michiel Steyaert · Journal Article · 2013 IEEE Transactions on Power Electronics ; 2013; Vol. 28; iss. 9; pp. 4327 - 4334
Robustness M Steyaert · Conference Proceeding · 2013 Nyquist AD Converters, Sensor Interfaces, and Robustness - Advances in Analog Circuit Design, AACD 2012; 2013; pp. 201 - ...
A 4.5 MGy TID-Tolerant CMOS Bandgap Reference Circuit Using a Dynamic Base Leakage Compensation Technique Ying Cao, Wouter De Cock, Michiel Steyaert, and Paul Leroux · Journal Article · 2013 IEEE Transactions On Nuclear Science; 2013; Vol. 60; iss. 4; pp. 2819 - 2824
A 265Vrms Mains Interface Integrated in 0.35um CMOS Hans Meyvaert, Patrick Smeets, and Michiel Steyaert · Journal Article · 2013 IEEE Journal of Solid-State Circuits ; 2013; Vol. 48; iss. 7; pp. 1558 - 1564
Monolithic Power Management Front End with High Voltage Dense Energy Storage for Wireless Powering Hans Meyvaert, Arne Crouwels, Stijn Indevuyst, and Michiel Steyaert · Conference Proceeding · 2013 Ph.D. Research in Microelectronics and Electronics ; 2013; pp. 277 - 280
A 120GHz quadrature frequency generator with 16.2GHz tuning range in 45nm CMOS Wouter Volkaerts, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2013 Radio Frequency Integrated Circuits Symposium (RFIC), 2013 IEEE ; 2013; pp. 207 - 210
From AC to DC and reverse, the next fully integrated power management challenge Michiel Steyaert, Hans Meyvaert, and Piet Callemeyn · Conference Proceeding · 2013 Advances in Analogue Circuit and Design ; 2013; pp. 103 - 132
A > 4 MGy radiation tolerant 8 THzOhm transimpedance amplifier with 50 dB dynamic range Jens Verbeeck, Michiel Steyaert, and Paul Leroux · Journal Article · 2013 Journal of Instrumentation ; 2013; Vol. 8; iss. 02; pp.
A 63,000 Q-factor relaxation oscillator with switched-capacitor integrated error feedback Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2013 IEEE International Solid-State Circuits Conference: Digest of technical papers ; 2013; Vol. 56; pp. 186 - 187
Radiation-tolerant MASH delta-sigma Time-to-Digital Converters Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Book Chapter · 2013 Nyquist AD converters, sensor interfaces, and robustness ; 2013; Vol. 21; pp. 223 - 243
Low-power, low-penalty, flip-chip integrated, 10Gb/s ring-based 1V CMOS photonics transmitter Michal Rakowski, Marianna Pantouvaki, Hui Yu, Wim Bogaerts, Kristin De Meyer, Michiel Steyaert, Bradley Snyder, Peter O'Brien, Julien Ryckaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2013 Optical Fiber Communication Conference and Exposition/National Fiber Optic Engineers Conference - OFCNFOEC ; 2013; pp.
From AC to DC and reverse, the next fully integrated power management challenge Michiel Steyaert, Hans Meyvaert, and Piet Callemeyn · Book Chapter · 2013 Frequency References, Power Management for SoC, and Smart Wireless Interfaces ; 2013; pp. 103 - 128
Fully Integrated Switched-Capacitor DC-DC: Bulk CMOS Oriented Design Hans Meyvaert and Michiel Steyaert · Other · 2012 International Workshop on Power Supply On Chip ; 2012
On the Other Applications of Organic Electronics on Foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Journal Article · 2012 IEEE Journal of Solid-State Circuits ; 2012; Vol. 4; iss. 4; pp. 43 - 49
A 4.5 MGy TID-tolerant CMOS bandgap reference circuit using a dynamic base leakage compensation technique Ying Cao, Wouter De Cock, Michiel Steyaert, and Paul Leroux · Other · 2012
17 bit 4.35mW 1kHz Sigma delta ADC and 256-to-1 multiplexer for remote handling instrumentation equipment Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Other · 2012
A 265Vrms Mains Interface Integrated in 0.35um CMOS Hans Meyvaert, Patrick Smeets, and Michiel Steyaert · Conference Proceeding · 2012 European Solid-State Circuits Conference ; 2012; pp. 438 - 441
Optimization of Fully-Integrated Power Converter Circuits Comprising Tapered Inductor Layout and Temperature Effects Piet Callemeyn, Dimitri De Jonghe, Georges Gielen, and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design ; 2012; pp. 37 - 40
Monolithic Integration of a Class DE Inverter for On-Chip Resonant DC-DC converters Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the 38th European Solid-State Circuits Conference ; 2012; pp. 325 - 328
Dual-Output Capacitive DC-DC Converter with Power Distribution Regulator in 90 nm CMOS Nico De Clercq, Tom Van Breussegem, Wim Dehaene, and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the 38th European Solid-State Circuits Conference ; 2012; pp. 169 - 172
1-1-1 MASH delta-sigma time-to-digital converters with 6 ps resolution and third-order noise-shaping Ying Cao, Wouter De Cock, Michiel Steyaert, and Paul Leroux · Journal Article · 2012 IEEE Journal of Solid-State Circuits ; 2012; Vol. 47; iss. 9; pp. 2093 - 2106
Stochastic response surface method for dimensioning accelerator cavities Jeroen Deryckere, Bert Masschaele, Herbert De Gersem, and Michiel Steyaert · Other · 2012
An Ultra-Low-Power, Batteryless Microsystem for Wireless Sensor Networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Soheil Radiom, Nick Van Helleputte, Cedric Walravens, Zheng Li, Michiel Steyaert, Marian Verhelst, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2012 Procedia Engineering ; 2012; Vol. 47; pp. 1406 - 1409
Radiation-tolerant CMOS timing readout circuits for laser detection and ranging in nuclear reactors Ying Cao, Wouter De Cock, Michiel Steyaert, and Paul Leroux · Other · 2012
Design and assessment of a 6 ps-resolution time-to-digital converter with 5 MGy gamma-dose tolerance for LIDAR application Ying Cao, Wouter De Cock, Michiel Steyaert, and Paul Leroux · Journal Article · 2012 IEEE Transactions on Nuclear Science; 2012; Vol. 59; iss. 4; pp. 1382 - 1389
A 186 to 212 GHz Downconverter in 90 nm CMOS Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Journal Article · 2012 Journal of Infrared, Millimeter and Terahertz Waves ; 2012; Vol. 33; iss. 11; pp. 1085 - 1103
A radiation hard delta Sigma ADC in 130 nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2012 Proceedings of the 8th conference on Ph.D. Research in Microelectronics & Electronics; 2012; pp. 91 - 94
Ultra low voltage ΔΣ modulation using biased inverters in 130nm CMOS Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2012 Faible Tension Faible Consommation - FTFC ; 2012; pp. 1 - 4
Time Domain Model for Costas Loop Based QPSK Receiver Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2012 PRIME 2012; 8th Conference on Ph.D. Research in Microelectronics and Electronics; 2012; pp. 313 - 316
Accuracy Improvement of the Output Impedance Model for Capacitive Down-Converters Tom Van Breussegem and Michiel Steyaert · Journal Article · 2012 Analog Integrated Circuits and Signal Processing ; 2012; Vol. 72; iss. 1; pp. 271 - 277
Radiation-tolerant MASH Delta-Sigma Time-to-Digital Converters Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2012 Nyquist AD Converters, Sensor Interfaces, and Robustness - Advances in Analog Circuit Design, AACD 2012; 2012; pp. 223 - 243
Fully Integrated, High Performance Building Blocks for Multimedia Communication over POF Henk Motte, Lieven De Strycker, Michiel Steyaert, Jan Sevenhans, Jean Pierre Goemaere, and Nobby Stevens · Other · 2012 KU Leuven Association Doctoral Symposium; 2012
Radiation and temperature effects on integrated circuits Jens Verbeeck, Michiel Steyaert, and Paul Leroux · Other · 2012
A 250 mV 7.5 mu W 61 dB SNDR SC Delta Sigma Modulator Using Near-Threshold-Voltage-Biased Inverter Amplifiers in 130 nm CMOS Fridolin Michel and Michiel Steyaert · Journal Article · 2012 IEEE Journal of Solid-State Circuits ; 2012; Vol. 47; iss. 3; pp. 709 - 721
Spatially oversampled TDC with digital resolution enhancement Kameswaran Vengattaramane, Jonathan Borremans, Michiel Steyaert, and Jan Craninckx · Journal Article · 2012 Analog Integrated Circuits and Signal Processing ; 2012; Vol. 70; iss. 3; pp. 311 - 322
On-Chip Gain Reconfigurable 1.2 V 24 uW Chopping Instrumentation Amplifier with Automatic Resistor Matching in 0.13 um CMOS Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 372 - 373
Analog Organic Electronics Marien Hagen, Michiel Steyaert, and Paul Heremans · Book · 2012
A mathematical steady-state design model for fully-integrated boost and buck DC-DC converters Mike Wens and Michiel Steyaert · Journal Article · 2012 Analog Integrated Circuits and Signal Processing ; 2012; Vol. 70; iss. 3; pp. 369 - 375
Conceptual design of a MGy tolerant integrated signal conditioning circuit in 130nm and 700nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Journal Article · 2012 Journal of Instrumentation ; 2012; Vol. 7; iss. 1
Design Methodologies for Organic RFID Tags and Sensor Readout on Foil Kris Myny, Hagen Marien, Soeren Steudel, Peter Vicca, MJ Beenhakkers, NAJM van Aerle, GH Gelinck, Jan Genoe, Wim Dehaene, Michiel Steyaert, Paul Heremans, and Eugenio Cantatore · Book Chapter · 2012 Organic Electronics II: More Materials and Applications ; 2012; pp. 387 - 411
The tapered matrix amplifier: a low-power high-gain broadband amplifier Brecht Machiels, Patrick Reynaert, and Michiel Steyaert · Journal Article · 2012 Analog Integrated Circuits and Signal Processing ; 2012; Vol. 73; iss. 3; pp. 961 - 972
CMOS Integrated Capacitive DC-CD Converters Tom Van Breussegem and Michiel Steyaert · Book · 2012
Low-power, 10-Gbps 1.5-Vpp differential CMOS driver for a silicon electro-optic ring modulator Michal Rakowski, Julien Ryckaert, Marianna Pantouvaki, Hui Yu, Wim Bogaerts, Kristin De Meyer, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2012 IEEE Custom Integrated Circuits Conference - CICC; 2012; pp.
Part II : Sigma delta converters M Steyaert · Conference Proceeding · 2011 Analog Circuit Design - Robust Design, Sigma Delta Converters, RFID; 2011; pp. 105 - 106
A 0.7mW 13b temperature-stable MASH Delta-Sigma TDC with delay-line assisted calibration Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of technical papers - IEEE Asian Solid-State Circuits Conference 2011 (A-SSCC 2011) ; 2011; pp. 361 - 364
Linearity-enhanced Low-Power Distributed Low-Noise Amplifier in CMOS Brecht Machiels, Patrick Reynaert, and Michiel Steyaert · Conference Proceeding · 2011
An Active Guarding Technique for Substrate Noise Suppression on LC-tank Oscillators Hao-Ming Chao, Kuei-Ann Wen, and Michiel Steyaert · Conference Proceeding · 2011 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011; 2011; pp. 385 - 388
Sensitivity Analysis of the Eigenfrequencies of Superconductive Cavities Jeroen Deryckere, Bert Masschaele, Herbert De Gersem, and Michiel Steyaert · Other · 2011 Proceedings of the 2nd International Conference on Computational Engineering (ICCE 2011); 2011
Generation of Gbit/s modulated millimeter wave signals for measurement Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 2011 41st European Microwave Conference ; 2011; pp. 906 - 909
A 1.65W Fully Integrated 90nm Bulk CMOS Intrinsic Charge Recycling Capacitive DC-DC converter: Design & Techniques for High Power Density Hans Meyvaert, Tom Van Breussegem, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of the IEEE Energy Conversion Congress & Exposition ; 2011; pp. 3234 - 3241
DC-DC Converter Assisted Two-Stage Amplifier in Organic Thin-Film Transistor Technology on Foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference ; 2011; pp. 411 - 414
Circuit design in organic semiconductor technologies Paul Heremans, Wim Dehaene, Michiel Steyaert, Kris Myny, Hagen Marien, Jan Genoe, and Gerwin Gelinck · Conference Proceeding · 2011 ESSDERC 2011 - 41st European Solid State Device Research Conference ; 2011; pp. 5 - 12
A Monolithic 0.77W/mm2 Power Dense Capacitive DC-DC Step-Down Converter in 90nm Bulk CMOS Hans Meyvaert, Tom Van Breussegem, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference ; 2011; pp. 483 - 486
DC-DC Converters: From Discrete Towards Fully Integrated CMOS Michiel Steyaert, Tom Van Breussegem, Hans Meyvaert, Piet Callemeyn, and Mike Wens · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference; 2011; pp. 59 - 66
Differential input topologies with immunity to electromagnetic interference Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 203 - 206
A Colpitts LC VCO with Miller-Capacitance Gm Enhancing and Phase Noise Reduction Techniques Lianming LI, Patrick Reynaert, and Michiel Steyaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 491 - 494
Design of a MGy tolerant instrumentation amplifier using a correlated double sampling technique in 130nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2011 Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS; 2011; pp. 156 - 159
Conceptual design of a MGy tolerant integrated signal conditioning circuit in 130 nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Journal Article · 2011 Journal Of Instrumentation; 2011; Vol. 7; iss. 01; pp.
A 200 GHz Downconverter in 90 nm CMOS Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 239 - 242
A standard cell based all-digital time-to-digital converter with reconfigurable resolution and on-line background calibration Kameswaran Vengattaramane, Jonathan Borremans, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 275 - 278
Trustworthy genetic programming-based synthesis of analog circuit topologies using hierarchical domain-specific building blocks Trent McConaghy, Pieter Palmers, Michiel Steyaert, and Georges Gielen · Journal Article · 2011 IEEE Transactions on Evolutionary Computation ; 2011; Vol. 15; iss. 4; pp. 557 - 570
ADC design in organic thin-film electronics technology on plastic foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Conference Proceeding · 2011 IMEKO TC4 International Workshop on ADC Modelling, Testing and Data Converter Analysis and Design 2011, IWADC 2011 and IEEE 2011 ADC Forum; 2011; pp. 115 - 119
Design and Assessment of a 6ps-resolution Time-to-Digital Converter with 5MGy Gamma-Dose Tolerance for Nuclear Instrumentation Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 ANIMMA 2011 - Proceedings: 2nd International Conference on Advancements in Nuclear Instrumentation, Measurement Methods and their Applications; 2011; pp.
118GHz fundamental VCO with 7.8% tuning range in 65nm CMOS Wouter Volkaerts, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium; 2011
Multiple Event Time-to-Digital Conversion-Based Pulse Digitization for a 250 MHz Pulse Radio Ranging Application Tom Redant, Jorg Daniels, Michiel Steyaert, and Wim Dehaene · Journal Article · 2011 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2011; Vol. 58; iss. 11; pp. 2614 - 2622
Fully Integrated DC-DC converters: Topologies and Control Tom Van Breussegem, Mike Wens, and Michiel Steyaert · Conference Proceeding · 2011 Advances in Analog Circuit Design; 2011
A 5MGy gamma-dose tolerant Delta-Sigma Time-to-Digital Converter with 5.6ps resolution for LIDAR application Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Other · 2011
A 1.7mW 11b 1-1-1 MASH Delta-Sigma Time-to-Digital Converter Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2011; pp. 480 - 482
Organic dual DC-DC upconverter on foil for improved circuit reliability Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Journal Article · 2011 Electronics Letters ; 2011; Vol. 47; iss. 4; pp. 278 - 280
A Bandwidth Enhanced Transimpedance Amplifier with Improved Noise Performance Filip Tavernier and Michiel Steyaert · Journal Article · 2011 Analog Integrated Circuits and Signal Processing ; 2011; Vol. 66; iss. 2; pp. 277 - 283
A Fully Integrated CMOS 800-mW Four-Phase Semiconstant ON/OFF-Time Step-Down Converter Mike Wens and Michiel Steyaert · Journal Article · 2011 IEEE Transactions on Power Electronics ; 2011; Vol. 26; iss. 2; pp. 326 - 333
A 250 mV 7.5 uW 61 dB SNDR CMOS SC Delta Sigma Modulator using a near threshold voltage biased CMOS inverter technique Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2011 ISSCC ; 2011; Vol. 58; pp. 476 - 477
Design and assessment of a robust voltage amplifier with 2.5 GHz GBW and >100 kGy total dose tolerance Jens Verbeeck, Paul Leroux, and Michiel Steyaert · Journal Article · 2011 Journal of Instrumentation ; 2011; Vol. 6; iss. 01; pp.
A Fully Integrated Delta Sigma ADC in Organic Thin-Film Transistor Technology on Flexible Plastic Foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Journal Article · 2011 IEEE Journal of Solid-State Circuits ; 2011; Vol. 46; iss. 1; pp. 276 - 284
Output impedance analysis of digital-to-analogue converters Xu Wu and Michiel Steyaert · Journal Article · 2011 Electronics Letters ; 2011; Vol. 47; iss. 24; pp. 1314 - ...
High-Speed Optical Receivers with Integrated Photodiode in Nanoscale CMOS Filip Tavernier and Michel Steyaert · Book · 2011
Comparator-Based Switched-Capacitor Delta Sigma A/D Converters Koen Cornelissens and Michiel Steyaert · Conference Proceeding · 2011 ANALOG CIRCUIT DESIGN: ROBUST DESIGN, SIGMA DELTA CONVERTERS, RFID; 2011; pp. 157 - 176
Control of Fully Intergrated DC-DC converters in CMOS Tom Van Breussegem, Mike Wens, and Michiel Steyaert · Conference Proceeding · 2011 Analog Circuit Design - Low Voltage Low Power; Short Range Wireless Front-Ends; Power Management and DC-DC, AACD 2011; 2011; pp. 357 - 374
Analog Circuit Design Michiel Steyaert · Book · 2011
ADCs and DACs for Software-Defined Radio Michiel Steyaert, Pieter Palmers, and Koen Cornelissens · Book Chapter · 2011 Multi-Mode/Multi-Band RF Transceivers for Wireless Communications ; 2011; pp. 159 - 186