Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
An Ultrasonic Driver Array in Metal-Oxide Thin-Film Technology Using a Hybrid TFT-Si DLL Locking Architecture Jonas Pelgrims, Kris Myny, and Wim Dehaene · Journal Article · 2024 IEEE Journal Of Solid-State Circuits; 2024; Vol. 59; iss. 2; pp. 516 - 527
A 1MHz 256kb Ultra Low Power Memory Macro for Biomedical Recording Applications in 22nm FD-SOI Using FECC to Enable Data Retention Down to 170mV Supply Voltage Bob Vanhoof and Wim Dehaene · Journal Article · 2024 IEEE Transactions On Circuits And Systems I-Regular Papers; 2024; Vol. 71; iss. 1; pp. 299 - 305
An End-to-End Dual ASIC OFDM Transceiver for Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; Vol. 17; iss. 4; pp. 664 - 673
Automated In-Situ Monitoring for Variability-Resilient and Energy-Efficient Digital Circuits Demonstrated on a Viterbi Decoder in 22-nm CMOS Clara Nieto Taladriz Moreno and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2023; Vol. 31; iss. 9; pp.
Dense, 11 V-tolerant, Balanced Stimulator IC with Digital Time-domain Calibration for < /100nA Error Maxime Feyerick and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; pp.
Toward a Framework of Integrating Ability: Conceptualization and Design of an Integrated Physics and Mathematics Test Haydée De Loof, Stijn Ceuppens, Jolien De Meester, Leen Goovaerts, Lieve Thibaut, Mieke De Cock, Wim Dehaene, Fien Depaepe, Heidi Knipprath, Jelle Boeve-de Pauw, and Peter Van Petegem · Journal Article · 2023 Education Sciences; 2023; Vol. 13; iss. 3; pp.
CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2023 2023 24TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, ISQED; 2023; pp. 172 - 179
An Active-Pixel Readout Circuit Technique towards all LTPS-TFT-on-foil Large-Area Imagers with Inherent Nonlinearity Compensation Mohit Dandekar, Kris Myny, and Wim Dehaene · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, ISCAS; 2023; pp.
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W Weijie Jiang, Pouya Houshmand, Marian Verhelst, and wim Dehaene · Conference Proceeding · 2023 ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC); 2023; pp. 409 - 412
A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for DNN Inference supporting flexible bit precision and matrix size achieving 612 binary TOPS/W Mohit Gupta, Stefan Cosemans, Peter Debacker, and Wim Dehaene · Conference Proceeding · 2023 IEEE 49TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE, ESSCIRC 2023; 2023; pp. 417 - 420
An 11 V-tolerant, high-density neurostimulator using time-domain calibration in 65 nm CMOS Maxime Feyerick and Wim Dehaene · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings; 2022; pp. 429 - 433
A Flexible End-to-End Dual ASIC Transceiver for OFDM Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS); 2022; pp. 21 - 25
An all LTPS-TFT based Charge-Integrating-Amplifier for Sensor-Array Readout Circuit on Flexible Substrate Mohit Dandekar, Kris Myny, and Wim Dehaene · Journal Article · 2022 IEEE Open Journal of the Solid-State Circuits Society; 2022; pp.
Energy and side-channel security evaluation of near-threshold cryptographic circuits in 28nm FD-SOI technology Arthur Beckers, Roel Uytterhoeven, Thomas Vandenabeele, Jo Vliegen, Lennert Wouters, Joan Daemen, Wim Dehaene, Wolf Gierlichs, and Nele Mentens · Conference Proceeding · 2022 Proceedings of the 19th ACM International Conference on Computing Frontiers; 2022; pp. 258 - 262
Flex6502: A Flexible 8b Microprocessor in 0.8µm Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete Digital Design Flow Running Complex Assembly Code Hikmet Çeliker, Antony Sou, Brian Cobb, Wim Dehaene, and Kris Myny · Conference Proceeding · 2022 2022 IEEE International Solid- State Circuits Conference (ISSCC); 2022; pp. 272 - 273
Design Margin Reduction Through Completion Detection in a 28-nm Near-Threshold DSP Processor Roel Uytterhoeven and Wim Dehaene · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 2; pp. 651 - 660
Clock Recovery Circuit Using a Transmission Line as a Delay Element from a 100Gb/s bit stream Ibrahim Kazi, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2022 2022 20TH IEEE INTERREGIONAL NEWCAS CONFERENCE (NEWCAS); 2022; pp. 261 - 264
Positive-Feedback-Based Design Technique for Inherently Stable Active Load Toward High-Gain Amplifiers With Unipolar a-IGZO TFT Devices Mohit Dandekar, Kris Myny, and Wim Dehaene · Journal Article · 2022 IEEE Solid-State Circuits Letters; 2022; Vol. 5; pp. 37 - 40
SRAM with Stability Monitoring and Body Bias Tuning for Biomedical Applications Bob Vanhoof and Wim Dehaene · Journal Article · 2022 IEEE Solid State Circuits Letters; 2022; Vol. 5; pp. 29 - 32
A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide Thin-Film Technology using Hybrid DLL Locking Architecture Jonas Pelgrims, Kris Myny, and Wim Dehaene · Conference Proceeding · 2022 ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC); 2022; pp.
The Complementary FET (CFET) 6T-SRAM Mohit Kumar Gupta, Pieter Weckx, Pieter Schuddinck, Doyoung Jang, Bilal Chehab, Stefan Cosemans, Julien Ryckaert, and Wim Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 12; pp. 6106 - 6111
The Process of Designing Integrated STEM Learning Materials: Case Study towards an Evidence-based Model Jolien De Meester, Mieke De Cock, Greet Langie, and Wim Dehaene · Journal Article · 2021 European Journal of STEM Education; 2021; Vol. 6; iss. 1
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV2f Power Consumption Jonas Pelgrims, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 1 - 4
Going Towards High-Resolution, Uniform AMOLED Displays with a High Brightness Range Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Anastasia Glushkova, Manoj Nag, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemeijer, Paul Heremans, Jan Genoe, Wim Dehaene, and Kris Myny · Journal Article · 2021 SID Symposium Digest of Technical Papers; 2021; Vol. 52; iss. S2; pp. 549 - 552
A Comprehensive Study of Nanosheet and Forksheet SRAM for Beyond N5 Node Mohit Kumar Gupta, Pieter Weckx, Pieter Schuddinck, Doyoung Jang, Bilal Chehab, Stefan Cosemans, Julien Ryckaert, and Wim Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 8; pp. 3819 - 3825
OxRRAM-Based Analog in-Memory Computing for Deep Neural Network Inference: A Conductance Variability Study J Doevenspeck, R Degraeve, A Fantini, S Cosemans, A Mallik, P Debacker, D Verkest, R Lauwereins, and W Dehaene · Journal Article · 2021 IEEE Transactions On Electron Devices; 2021; Vol. 68; iss. 5; pp. 2301 - 2305
External compensation for high‐resolution active matrix organic light‐emitting diode displays Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Florian De Roose, Mikaël Bonnifait, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemeijer, Soeren Steudel, Jan Genoe, Wim Dehaene, and Kris Myny · Journal Article · 2021 Journal Of The Society For Information Display; 2021; Vol. 29; iss. 7; pp. 1 - 15
Two-Stage Resistor-Load Logic for Digital Applications on Flexible Substrates Hikmet Çeliker, Antony Sou, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 2021 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS); 2021; pp. 1 - 4
A Low Power Dynamic Circuit Topology towards a-IGZO Thin-Film Ultrasonic Transducer Driving Circuit Jonas Pelgrims, kris Myny, and Wim Dehaene · Conference Proceeding · 2021 2021 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS); 2021; pp. 1 - 4
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV(2)f Power Consumption Jonas Pelgrims, Kris Myny, and Wim Dehaene · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 159 - 162
Enhanced data integrity of In-Ga-Zn-Oxide based Capacitor-less 2T memory for DRAM applications Hyungrock Oh, Attilio Belmonte, Manu Perumkunnil, Jerome Mitard, Nouredine Rassoul, Gabriele Luca Donadio, Romain Delhougne, Arnaud Furnemont, Gouri Sankar Kar, and Wim Dehaene · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 275 - 278
Noise tolerant ternary weight deep neural networks for analog in-memory inference Jonas Doevenspeck, Peter Vrancx, Nathan Laubeuf, Arindam Mallik, Peter Debacker, Diederik Verkest, Rudy Lauwereins, and Wim Dehaene · Conference Proceeding · 2021 2021 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS (IJCNN); 2021; pp.
Dual-Input Pseudo-CMOS Logic for Digital Applications on Flexible Substrates Hikmet Çeliker, Wim Dehaene, and Kris Myny · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 255 - 258
An a-IGZO TFT based Op-Amp with 57 dB DC-Gain, 311 KHz Unity-gain Freq., 75 deg. Phase Margin and 2.43 mW Power on Flexible Substrate Mohit Dandekar, Kris Myny, and Wim Dehaene · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 407 - 410
A PVT-Compensated 0.1-67 GHz Injection-Locked Frequency Divider with Replica-based Automatic Tuning Maarten Baert and Wim Dehaene · Conference Proceeding · 2021 2021 IEEE RADIO FREQUENCY INTEGRATED CIRCUITS SYMPOSIUM (RFIC); 2021; pp. 75 - 78
A study on lower saturation voltage of dual-gate thin-film a-IGZO MOS transistors Tarun Kumar Agarwal, Aris Siskos, Florian De Roose, Wim Dehaene, Kris Myny, and Nikolas Papadopoulos · Conference Proceeding · 2021 PROCEEDINGS OF THE 2021 IEEE INTERNATIONAL CONFERENCE ON FLEXIBLE AND PRINTABLE SENSORS AND SYSTEMS (FLEPS); 2021; pp.
38‐4: A 2T1C AMOLED Display with External Compensation Reducing On‐Panel Current Variations to 0.079% Lynn Verschueren, Marc Ameys, Mauricio Velazquez Lopez, Steve Smout, Tung Huei Ke, Erwin Vandenplas, Auke Jisk Kronemijer, Paul Heremans, Jan Genoe, Wim Dehaene, and Kris Myny · Conference Proceeding · 2020 SID's Digest of Technical Papers; 2020; Vol. 51; iss. 1; pp. 547 - 550
78-4: IGZO-based Identification Tags Communicating with Everyday Touchscreens Nikolaos Papadopoulos, Hikmet Çeliker, Weiming Qiu, Marc Ameys, Steve Smout, Myriam Willegems, Filip Deroo, Jan-Laurens van der Steen, Auke Jisk Kronemeijer, Marco Dehouwer, Alexander Mityashin, Robert Gehlhaar, Wim Dehaene, and Kris Myny · Journal Article · 2020 SID Symposium Digest of Technical Papers; 2020; Vol. 51; iss. 1; pp. 1167 - 1170
Bridging the Gap between Secondary and Higher STEM Education – the Case of STEM@school Jolien De Meester, Jelle Boeve-de Pauw, Marie-Paule Buyse, Stijn Ceuppens, Mieke De Cock, Haydée De Loof, Leen Goovaerts, Luc Hellinckx, Heidi Knipprath, Annemie Struyf, Lieve Thibaut, Didier Van de Velde, Peter Van Petegem, and Wim Dehaene · Journal Article · 2020 European Review; 2020; Vol. 28; iss. S1; pp. S135 - S157
Completion Detection-Based Timing Error Detection and Correction in a Near-Threshold RISC-V Microprocessor in FDSOI 28 nm Roel Uytterhoeven and Wim Dehaene · Journal Article · 2020 IEEE Solid-State Circuits Letters; 2020; Vol. 3; pp. 230 - 233
A 5GS/s 7.2 ENOB Time-Interleaved VCO-based ADC Achieving 30.5fJ/cs Maarten Baert and Wim Dehaene · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 6; pp. 1577 - 1587
Dealing with the Energy Versus Performance Tradeoff in Future CMOS Digital Circuit Design Wim Dehaene, Roel Uytterhoeven, Clara Nieto Taladriz Moreno, and Bob Vanhoof · Book Chapter · 2020 NANO-CHIPS 2030; 2020; pp. 89 - 115
Modeling and Demonstration of Oxygen Vacancy-Based RRAM as Probabilistic Device for Sequence Learning Jonas Doevenspeck, Robin Degraeve, Andrea Fantini, Peter Debacker, Diederik Verkest, Rudy Lauwereins, and Wim Dehaene · Journal Article · 2020 IEEE Transactions On Electron Devices; 2020; Vol. 67; iss. 2; pp. 505 - 511
SOT-MRAM based Analog in-Memory Computing for DNN inference J Doevenspeck, K Garello, B Verhoef, R Degraeve, S Van Beek, D Crotti, F Yasin, S Couet, G Jayakumar, IA Papistas, P Debacker, R Lauwereins, W Dehaene, GS Kar, S Cosemans, A Mallik, and D Verkest · Conference Proceeding · 2020 2020 IEEE SYMPOSIUM ON VLSI TECHNOLOGY; 2020; pp.
Design of an Automotive Sensor Readout Class AB CMOS Amplifier for Maximum Robustness Against Transient Electromagnetic Interference Muhammet Burak Baran, Hugo Pues, and Wim Dehaene · Conference Proceeding · 2020 IEEE International Symposium on Electromagnetic Compatibility; 2020; pp. 1 - 6
AMOLED Displays with In-Pixel Photodetector Nikolaos Papadopoulos, Pawel Malinowski, Lynn Verschueren, Tung Huei Ke, Auke Jisk Kronemeijer, Jan Genoe, Wim Dehaene, and Kris Myny · Book Chapter · 2019 Liquid Crystals and Display Technology; 2019; pp. 1 - 19
Performance Comparison of s-Si, In0.53Ga0.47As, Monolayer BP- and WS2-Based n-MOSFETs for Future Technology Nodes-Part II: Circuit-Level Comparison Tarun Kumar Agarwal, Martin Rau, Iuliana Radu, Mathieu Luisier, Wim Dehaene, and Marc Heyns · Journal Article · 2019 IEEE Transactions On Electron Devices; 2019; Vol. 66; iss. 8; pp. 3614 - 3619
Performance Comparison of s-Si, In0.53Ga0.47As, Monolayer BP, and WS2-Based n-MOSFETs for Future Technology Nodes-Part I: Device-Level Comparison Tarun Kumar Agarwal, Martin Rau, Iuliana Radu, Mathieu Luisier, Wim Dehaene, and Marc Heyns · Journal Article · 2019 IEEE Transactions On Electron Devices; 2019; Vol. 66; iss. 8; pp. 3608 - 3613
Security on Plastics: Fake or Real? Nele Mentens, Jan Genoe, Thomas Vandenabeele, Lynn Verschueren, Dirk Smets, Wim Dehaene, and Kris Myny · Journal Article · 2019 IACR Transactions on Cryptographic Hardware and Embedded Systems; 2019; Vol. 2019; iss. 4; pp.
Sense amplifier offset voltage analysis for both time-zero and time-dependent variability Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor, and Wim Dehaene · Journal Article · 2019 Microelectronics Reliability; 2019; Vol. 99; pp. 52 - 61
A Large-Area a-IGZO 256x256 Imager Using a Current-Mode Transimpedance Readout for Mammography Applications Florian De Roose, Sandro Tedde, Kris Myny, Siavash Ardekani, Manoj Nag, Marc Ameys, Albert van Breemen, Jan-Laurens van der Steen, Roy Verbeek, Hylke Akkerman, Gerwin Gelinck, Tim Piessens, Jan Genoe, Wim Dehaene, and Soeren Steudel · Conference Proceeding · 2019 2019 International Image Sensor Workshop; 2019; pp.
High Performance Dual-Gate Dual-Layer Amorphous Oxide Semiconductors TFTs on PI Foil for Display Application Manoj Nag, Hikmet Celiker, Lynn Verschueren, Steve Smout, Myriam Willegems, Rishabh Upadhyay, Cédric Rolin, Nikolaos Papadopoulos, Jan Genoe, Wim Dehaene, Soeren Steudel, Paul Heremans, and Kris Myny · Journal Article · 2019 SID Symposium Digest of Technical Papers; 2019; Vol. 50; iss. 1; pp. 1255 - 1258
Organic Photolithography for Displays with Integrated Fingerprint Scanner Pawel E Malinowski, Tung-Huei Ke, Hylke Akkerman, Atsushi Nakamura, Albert van Breemen, Dieter Vander Velpen, Erwin Vandenplas, Moreno Hagelsieb, Lynn Verscheuren, Jan Genoe, Wim Dehaene, Auke Jisk, Sören Steudel, Gerwin Gelinck, and Paul Heremans · Journal Article · 2019 SID symposium digest of technical papers; 2019; Vol. 50; pp. 1007 - 1010
A concrete proposal to introduce control theory to 16 year old pupils Leen Goovaerts, Mieke De Cock, Katrien Struyven, and Wim Dehaene · Journal Article · 2019 European Journal of STEM Education; 2019; Vol. 4; iss. 1
Developing a Module to Teach Thermodynamics in an Integrated Way to 16 Year Old Pupils Leen Goovaerts, Mieke De Cock, Katrien Struyven, and Wim Dehaene · Journal Article · 2019 European Journal of STEM Education; 2019; Vol. 4; iss. 1; pp.
Integration of highly crystalline C8-BTBT thin-films into simple logic gates and circuits Robby Janneck, Thomas S Nowack, Florian De Roose, Hany Ali, Wim Dehaene, Paul Heremans, Jan Genoe, and Cedric Rolin · Journal Article · 2019 Organic Electronics; 2019; Vol. 67; pp. 64 - 71
A 5GS/s 7.2 ENOB Time-Interleaved VCO-Based ADC Achieving 30.5fJ/conv-step Maarten Baert and Wim Dehaene · Conference Proceeding · 2019 2019 IEEE International Solid-State Circuits Conference Digest of Technical Papers; 2019; Vol. 62; pp. 328 - 330
Development and Assessment of iSTEM Competencies L Goovaerts · Dissertation · 2019
Enabling Ultrasound In-Body Communication: FIR Channel Models and QAM Experiments Thomas Bos, Wentao Jiang, Jan D'hooge, Marian Verhelst, and Wim Dehaene · Journal Article · 2019 IEEE Transactions On Biomedical Circuits And Systems; 2019; Vol. 13; iss. 1; pp. 135 - 144
9th grade students’ understanding and strategies when solving x(t) problems in 1D kinematics and y(x) problems in mathematics Stijn Ceuppens, Laurens Bollen, Johan Deprez, Wim Dehaene, and Mieke De Cock · Journal Article · 2019 Physical Review Physics Education Research; 2019; Vol. 15; iss. 1; pp. 1 - 22
Introduction to the Special Issue on the 2018 International Solid-State Circuits Conference (ISSCC) Yohan Frans, Wim Dehaene, Masato Motomura, and Seung-Jun Bae · Journal Article · 2019 IEEE Journal Of Solid-State Circuits; 2019; Vol. 54; iss. 1; pp. 3 - 5
Ultrasound In-Body Communication with OFDM through Multipath Realistic Channels Thomas Bos, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE BIOMEDICAL CIRCUITS AND SYSTEMS CONFERENCE (BIOCAS 2019); 2019; pp.
Dual-gate self-aligned a-InGaZnO transistor model for flexible circuit applications Florian De Roose, Hikmet Celiker, Jan Genoe, Wim Dehaene, and Kris Myny · Conference Proceeding · 2019 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE); 2019; pp. 25 - 29
Memory Solutions for Flexible Thin-Film Logic: up to 8kb, > 105.9kb/s LPROM and SRAM with Integrated Timing Generation Meeting the ISO NFC Standard Florian De Roose, Jan Genoe, Auke J Kronemeijer, Kris Myny, and Wim Dehaene · Conference Proceeding · 2019 2019 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC); 2019; Vol. 62; pp. 206 - +
Architecture optimization for energy-efficient resolution-scalable 8-12-bit SAR ADCs Thomas Bos, Komail Badami, Wim Dehaene, and Marian Verhelst · Journal Article · 2018 Analog Integrated Circuits And Signal Processing; 2018; Vol. 97; iss. 3; pp. 437 - 448
A Systematic Performance Comparison of Ultra Low-Power AES S-Boxes Thomas Vandenabeele, Roel Uytterhoeven, Wim Dehaene, and Nele Mentens · Conference Proceeding · 2018 PATMOS 2018; 2018; pp. 248 - 253
In-Panel 31.17dB 140kHz 87μW Unipolar Dual-Gate In-Ga-Zn-O Charge-Sense Amplifier for 500dpi Sensor Array on Flexible Displays Nikolaos Papadopoulos, S Steudel, Florian De Roose, DM Eigabry, AJ Kronemeijer, Jan Genoe, Wim Dehaene, and K Myny · Conference Proceeding · 2018 ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC); 2018; pp. 194 - 197
How school context and personal factors relate to teachers' attitudes toward teaching integrated STEM Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Journal Article · 2018 International Journal of Technology & Design Education; 2018; Vol. 28; iss. 3; pp. 631 - 651
Design and validation of a test for representational fluency of 9th grade students in physics and mathematics: The case of linear functions Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Journal Article · 2018 Physical Review Physics Education Research; 2018; Vol. 14; iss. 2; pp. 1 - 19
Toward Temperature Tracking With Unipolar Metal-Oxide Thin-Film SAR C-2C ADC on Plastic Nikolas P Papadopoulos, Florian De Roose, Jan-Laurens PJ van der Steen, Edsger CP Smits, Marc Ameys, Wim Dehaene, Jan Genoe, and Kris Myny · Journal Article · 2018 IEEE Journal Of Solid-State Circuits; 2018; Vol. 53; iss. 8; pp. 2263 - 2272
Impact and mitigation of SRAM read path aging Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, and Wim Dehaene · Journal Article · 2018 Microelectronics Reliability; 2018; Vol. 87; pp. 158 - 167
Linking concepts of linear relations in physics and mathematics Mieke De Cock, Stijn Ceuppens, Johan Deprez, and Wim Dehaene · Other · 2018
Margin Elimination Through Timing Error Detection in a Near-Threshold Enabled 32-bit Microcontroller in 40nm CMOS Hans Reyserhove and Wim Dehaene · Journal Article · 2018 IEEE Journal Of Solid-State Circuits; 2018; Vol. 53; iss. 7; pp. 2101 - 2113
Tackling misconceptions in geometrical optics Stijn Ceuppens, Johan Deprez, Wim Dehaene, and Mieke De Cock · Journal Article · 2018 Physics Education; 2018; Vol. 53; iss. 4
STEM Education in Flanders: How STEM@school aims to foster STEM literacy and a positive attitude towards STEM Heidi Knipprath, Lieve Thibaut, Marie-Paule Buyse, Stijn Ceuppens, Haydee De Loof, Jolien De Meester, Leen Goovaerts, Annemie Struyf, Jelle Boeve-De Pauw, Fien Depaepe, Johan Deprez, Mieke De Cock, Luc Hellinckx, Greet Langie, Katrien Struyven, Didier Van de Velde, Peter Van Petegem, and Wim Dehaene · Journal Article · 2018 IEEE Instrumentation & Measurement Magazine; 2018; Vol. 21; iss. 3; pp. 36 - 40
Printed Organic Photodetector Arrays and their use in Palmprint Scanners Hylke Akkerman, Bart Peeters, Albert Van Breemen, Santhosh Shanmugam, Daniel Tordera, Jan-Laurens van der Steen, Auke Jisk Kronemeijer, Pawel E Malinowski, Florian De Roose, David Cheyns, Jan Genoe, Wim Dehaene, Paul Heremans, and Gerwin Gelinck · Conference Proceeding · 2018 Society for Information Display International Symposium Digest of Technical Papers; 2018; pp. 494 - 497
40x current variation reduction enabled by an external VT-compensation scheme for AMOLED displays using a 3T2C-pixel circuit with dual-gate TFTs Lynn Verschueren, Marc Ameys, Florian De Roose, soeren Steudel, Jan-Laurens van der Steen, Gerwin Gelinck, Auke Jisk Kronemeijer, Chin-Hai Huang, Chi-Chung Tsai, Yen-Yu Huang, Ming-Hua Yeh, paul Heremans, kris Myny, wim Dehaene, and jan Genoe · Conference Proceeding · 2018 https://doi.org/10.1002/sdtp.12594; 2018
The development of a framework for integrated STEM education: Key principles and learning materials Leen Goovaerts, Lieve Thibaut, Jolien De Meester, Jelle Boeve-De Pauw, Stijn Ceuppens, Mieke De Cock, Haydée De Loof, Fien Depaepe, Johan Deprez, Luc Hellinckx, Heidi Knipprath, Greet Langie, Annemie Struyf, Katrien Struyven, Didier Van de Velde, Peter Van Petegem, and Wim Dehaene · Other · 2018
The implementation of integrated STEM education: The importance of teacher characteristics, participation in the development of the instructional approach and school context Lieve Thibaut, Jolien De Meester, Mieke De Cock, Wim Dehaene, Heidi Knipprath, Greet Langie, and Fien Depaepe · Other · 2018
Evaluatiekeuze en –praktijken bij iSTEM Leen Goovaerts, Katrien Struyven, Mieke De Cock, and Wim Dehaene · Conference Proceeding · 2018 Evaluatiekeuze en –praktijken bij iSTEM ; 2018; pp. 12 - 12
The influence of teachers' attitudes and school context on instructional practices in integrated STEM education Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Journal Article · 2018 Teaching and Teacher Education ; 2018; Vol. 71; pp. 190 - 205
A sub 10 pJ/cycle over a 2 to 200 MHz Performance Range RISC-V Microprocessor in 28 nm FDSOI Roel Uytterhoeven and Wim Dehaene · Conference Proceeding · 2018 ESSCIRC 2018 - IEEE 44TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC); 2018; pp. 326 - 329
Material-Device-Circuit Co-Design of 2-D Materials-Based Lateral Tunnel FETs Tarun Agarwal, Gianluca Fiori, Bart Soree, Iuliana Radu, Marc Heyns, and Wim Dehaene · Journal Article · 2018 IEEE Journal Of The Electron Devices Society; 2018; Vol. 6; iss. 1; pp. 979 - 986
Integrated STEM education: A systematic review of instructional practices in secondary education Lieve Thibaut, Stijn Ceuppens, Haydée De Loof, Jolien De Meester, Leen Goovaerts, Annemie Struyf, Jelle Boeve-De Pauw, Wim Dehaene, Johan Deprez, Mieke De Cock, Luc Hellinckx, Heidi Knipprath, Greet Langie, Katrien Struyven, Didier Van de Velde, Peter Van Petegem, and Fien Depaepe · Journal Article · 2018 European Journal of STEM Education; 2018; Vol. 3; iss. 1; pp. 1 - 12
Modelling of Channels for Intra-Corporal Ultrasound Communication Wentao Jiang, thomas Bos, wim Dehaene, Marian Verhelst, and jan D'hooge · Conference Proceeding · 2018 2018 IEEE International Ultrasonics Symposium (IUS); 2018; Vol. 2018-October; pp.
Leren ontwerpen van STEM-integrerend leermateriaal Jolien De Meester, Heidi Knipprath, Marie-Paule Buyse, Stijn Ceuppens, Haydée De Loof, Leen Goovaerts, Luc Hellinckx, Annemie Struyf, Lieve Thibaut, Didier Van de Velde, Jelle Boeve-de Pauw, Mieke De Cock, Fien Depaepe, Johan Deprez, Greet Langie, Katrien Struyven, Peter Van Petegem, and Wim Dehaene · Journal Article · 2017 Tijdschrift voor Lerarenopleiders ; 2017; Vol. 38; iss. 4; pp. 27 - 36
Doorstroomgericht STEM-onderwijs. Hoe beleven en percipiëren in de tweede graad van het secundair onderwijs Didier Van de Velde, Hans Van Boven, Wim Dehaene, Heidi Knipprath, and Mieke De Cock · Journal Article · 2017 Impuls voor Onderwijsbegeleiding ; 2017; Vol. 47; iss. 2; pp. 87 - 94
Integrated STEM: The passive house Leen Goovaerts, Mieke De Cock, and Wim Dehaene · Other · 2017
Teachers’ attitudes and practices in integrated STEM education Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Other · 2017
A Thin-Film, a-IGZO, 128b SRAM and LPROM Matrix With Integrated Periphery on Flexible Foil Florian De Roose, Kris Myny, Marc Ameys, Jan-Laurens PJ van der Steen, Joris Maas, Joris de Riet, Jan Genoe, and Wim Dehaene · Journal Article · 2017 IEEE Journal of Solid-State Circuits ; 2017; Vol. 52; iss. 11; pp. 3095 - 3103
The process of designing STEM-integrating learning materials Jolien De Meester, Greet Langie, Mieke De Cock, and Wim Dehaene · Other · 2017
Designing integrated STEM education: how to transform a theory into practical learning units Jolien De Meester, Greet Langie, Mieke De Cock, and Wim Dehaene · Other · 2017
Device circuit and technology co-optimisation for FinFET based 6T SRAM cells beyond N7 Mohit Kumar Gupta, Pieter Weckx, Stefan Cosemans, Pieter Schuddinck, Rogier Baert, Dmitry Yakimets, Doyoung Jang, Yasser Sherazi, Praveen Raghavan, Alessio Spessot, Anda Mocuta, and Wim Dehaene · Conference Proceeding · 2017 Proceedings of the 47th European Solid-State Device Research Conference (ESSDERC) ; 2017; Vol. 2017; pp. 256 - 259
Design Margin Elimination Through Robust Timing Error Detection at Ultra-Low Voltage Hans Reyserhove and Wim Dehaene · Conference Proceeding · 2017 Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S) ; 2017; Vol. 2017; pp. 1 - 3
Design Margin Elimination in a Near-Threshold Timing Error Masking-Aware 32-bit ARM Cortex M0 in 40nm CMOS Hans Reyserhove and Wim Dehaene · Conference Proceeding · 2017 Proceedings of the IEEE 43rd European Solid-State Circuits Conference (ESSCIRC) ; 2017; pp. 155 - 158
Pixel current calibration in digital-driven active matrix displays Jan Genoe, Lynn Verschueren, Florian De Roose, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2017 17th International Meeting on Information Display - IMID ; 2017
Method for the Development of STEM-integrating Learning Materials Jolien De Meester, Greet Langie, Mieke De Cock, and Wim Dehaene · Other · 2017
Predictive factors of teachers’ attitudes toward teaching integrated STEM Lieve Thibaut, Heidi Knipprath, Wim Dehaene, and Fien Depaepe · Other · 2017
Process evaluation for integrated STEM Leen Goovaerts, Katrien Struyven, Mieke De Cock, and Wim Dehaene · Other · 2017