Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Microelectronics for Microbiology Peishuo Li · Dissertation · 2023
The “Eagle” Approach To Train Electrical Engineers With Collaborative Problem-Solving Skills Fereshteh Poormohammadi, Merijn Van Deyck, Martijn Deckers, Abdul Saboor, Bowen Wang, Pouya Mehrjouseresht, Zhenda Zhang, Arne Symons, Pieter Pas, Alexander Bodard, Hans van Rooij, Marian Verhelst, Alexander Bertrand, Ruth Sabariego, Panagiotis Patrinos, and Peter Coppens · Conference Proceeding · 2023 51st Annual Conference of the European Society for Engineering Education (SEFI); 2023; pp.
An Online-Spike-Sorting IC Using Unsupervised Geometry-Aware OSort Clustering for Efficient Embedded Neural-Signal Processing Yingping Chen, Bernardo Tacca, Yunzhu Chen, Dwaipayan Biswas, Georges Gielen, Francky Catthoor, Marian Verhelst, and Carolina Mora Lopez · Journal Article · 2023 IEEE Xplore; 2023; Vol. 58; iss. 11; pp.
Design Space Exploration of Deep Learning Accelerators Linyan Mei · Dissertation · 2023
An End-to-End Dual ASIC OFDM Transceiver for Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Journal Article · 2023 IEEE Transactions On Biomedical Circuits And Systems; 2023; Vol. 17; iss. 4; pp. 664 - 673
COAC: Cross-Layer Optimization of Accelerator Configurability for Efficient CNN Processing Steven Colleman, Man Shi, and Marian Verhelst · Journal Article · 2023 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2023; Vol. 31; iss. 7; pp. 945 - 958
DepFiN: A 12-nm Depth-First, High-Resolution CNN Processor for IO-Efficient Inference Koen Goetschalckx, Fengfeng Wu, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 5; pp.
CNN-based Robust Sound Source Localization with SRP-PHAT for the Extreme Edge Jun Yin and Marian Verhelst · Journal Article · 2023 Acm Transactions On Embedded Computing Systems; 2023; Vol. 22; iss. 3; pp.
Ultra Low Power Adaptive Sensor Nodes Jaro De Roose · Dissertation · 2023
TinyVers: A Tiny Versatile System-on-Chip With State-Retentive eMRAM for ML Inference at the Extreme Edge Vikram Jain, Sebastian Giraldo, Jaro De Roose, Linyan Mei, Bert Boons, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 8; pp. 1 - 12
A 96-channel 40nm CMOS Potentiostat for Parallel Experiments on Microbial Electrochemical Systems Peishuo Li, Tom Molderez, David Villamor, Antonin Prévoteau, and Marian Verhelst · Journal Article · 2023 IEEE Transactions On Circuits And Systems I-Regular Papers; 2023; Vol. 70; iss. 1; pp.
DIANA: An End-to-End Hybrid DIgital and ANAlog Neural Network SoC for the Edge Pouya Houshmand, Giuseppe M Sarda, Vikram Jain, Kodai Ueyoshi, Ioannis A Papistas, Man Shi, Qilin Zheng, Debjyoti Bhattacharjee, Arindam Mallik, Peter Debacker, Diederik Verkest, and Marian Verhelst · Journal Article · 2023 IEEE Journal Of Solid-State Circuits; 2023; Vol. 58; iss. 1; pp. 203 - 215
DeFiNES: Enabling Fast Exploration of the Depth-first Scheduling Space for DNN Accelerators through Analytical Modeling Linyan Mei, Koen Goetschalckx, Arne Symons, and Marian Verhelst · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA; 2023; pp. 570 - 583
Stream: A Modeling Framework for Fine-grained Layer Fusion on Multi-core DNN Accelerators Arne Symons, Linyan Mei, Steven Colleman, Pouya Houshmand, Sebastian Karl, and Marian Verhelst · Conference Proceeding · 2023 2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS; 2023; pp. 355 - 357
CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, and Marian Verhelst · Conference Proceeding · 2023 2023 24TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, ISQED; 2023; pp. 172 - 179
Genetic Algorithm-based Framework for Layer-Fused Scheduling of Multiple DNNs on Multi-core Systems Sebastian Karl, Arne Symons, Nael Fasfous, and Marian Verhelst · Conference Proceeding · 2023 2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE; 2023; pp.
PetaOps/W edge-AI μProcessors: Myth or reality? Manil Dev Gomony, Floran de Putter, Anteneh Gebregiorgis, Gianna Paulin, Linyan Mei, Vikram Jain, Said Hamdioui, Victor Sanchez, Tobias Grosser, Marc Geilen, Marian Verhelst, Friedemann Zenke, Frank Gurkaynak, Barry de Bruin, Sander Stuijk, Simon Davidson, Sayandip De, Mounir Ghogho, Alexandra Jimborean, Sherif Eissa, Luca Benini, Dimitrios Soudris, Rajendra Bishnoi, Sam Ainsworth, Federico Corradi, Ouassim Karrakchou, Tim Gueneysu, and Henk Corporaal · Conference Proceeding · 2023 2023 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, DATE; 2023; pp.
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W Weijie Jiang, Pouya Houshmand, Marian Verhelst, and wim Dehaene · Conference Proceeding · 2023 ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC); 2023; pp. 409 - 412
Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference Matteo Risso, Alessio Burrello, Giuseppe Maria Sarda, Luca Benini, Enrico Macii, Massimo Poncino, Marian Verhelst, and Daniele Jahier Pagliari · Conference Proceeding · 2023 2023 IEEE/ACM INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, ISLPED; 2023; pp.
HTVM: Efficient Neural Network Deployment On Heterogeneous TinyML Platforms Josse Van Delm, Maarten Vandersteegenl, Alessio Burrello, Giuseppe Maria Sarda, Francesco Conti, Daniele Jahier Pagliari, Luca Benini, and Marian Verhelst · Conference Proceeding · 2023 2023 60TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC; 2023; pp.
PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge Vikram Jain, Matheus Cavalcante, Nazareno Bruschi, Michael Rogenmoser, Thomas Benz, Andreas Kurth, Davide Rossi, Luca Benini, and Marian Verhelst · Conference Proceeding · 2023 2023 60TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC; 2023; pp.
GRAPHOPT: constrained-optimization-based parallelization of irregular graphs Nimish Shirishbhai Shah, Wannes Meert, and Marian Verhelst · Journal Article · 2022 IEEE Transactions On Parallel And Distributed Systems; 2022; Vol. 33; iss. 12; pp. 3321 - 3332
Hardware-Aware Mobile Building Block Evaluation for Computer Vision Maxim Bonnaerens, Matthias Freiberger, Marian Verhelst, and Joni Dambre · Journal Article · 2022 Applied Sciences-Basel; 2022; Vol. 12; iss. 24; pp.
A Flexible End-to-End Dual ASIC Transceiver for OFDM Ultrasound In-Body Communication Thomas Bos, Marian Verhelst, and Wim Dehaene · Conference Proceeding · 2022 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS); 2022; pp. 21 - 25
DPU: DAG Processing Unit for Irregular Graphs With Precision-Scalable Posit Arithmetic in 28 nm Nimish Shirishbhai Shah, Laura Isabel Galindez Olascoaga, Shirui Zhao, Wannes Meert, and Marian Verhelst · Journal Article · 2022 IEEE Journal Of Solid-State Circuits; 2022; Vol. 57; iss. 8; pp. 1 - 11
TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, Tiny Versatile System-on-chip with State-Retentive eMRAM for Machine Learning Inference at the Extreme Edge Vikram Jain, Sebastian Giraldo, Jaro De Roose, Bert Boons, Linyan Mei, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits); 2022; pp.
Graph Analytics on RISC-V GPU: Where are the Bottlenecks? Nimish Shah and Marian Verhelst · Other · 2022
Fair and Comprehensive Benchmarking of Machine Learning Processing Chips Geoffrey W Burr, SukHwan Lim, Boris Murmann, Rangharajan Venkatesan, and Marian Verhelst · Journal Article · 2022 IEEE Design & Test; 2022; Vol. 39; iss. 3; pp. 18 - 27
Towards the next generation Heterogeneous Multi-core Multi-accelerator Architectures for Machine Learning Vikram Jain, Giuseppe Sarda, Pouya Houshmand, and Marian Verhelst · Other · 2022
Taxonomy and Benchmarking of Precision-Scalable MAC Arrays Under Enhanced DNN Dataflow Representation Ehab M Ibrahim, Linyan Mei, and Marian Verhelst · Journal Article · 2022 IEEE Transactions On Circuits And Systems I-Regular Papers; 2022; Vol. 69; iss. 5; pp. 2013 - 2024
DIANA: An End-to-End Energy-Efficient Digital and ANAlog Hybrid Neural Network SoC Kodai Ueyoshi, Ioannis A Papistas, Pouya Houshmand, Giuseppe Maria Sarda, Vikram Jain, man Shi, Qilin Zheng, Sebastian Giraldo, Peter Vranckx, Jonas Doevenspeck, Debjyoti Bhattacharjee, Stefan Cosemans, Arindam Mallik, Peter Debacker, Diederik Verkest, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE International Conference on Solid-State Circuits (ISSCC); 2022; Vol. 65; pp.
Enabling real-time object detection on low cost FPGAs Vikram Jain, Ninad Jadhav, and Marian Verhelst · Journal Article · 2022 Journal Of Real-Time Image Processing; 2022; Vol. 19; iss. 1; pp. 217 - 229
Optimizing Accelerator Configurability for Mobile Transformer Networks Steven Colleman, Peter Zhu, Wei Sun, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2022; pp. 142 - 145
A Uniform Latency Model for DNN Accelerators with Diverse Architectures and Dataflows Linyan Mei, Huichu Liu, Tony Wu, H Ekin Sumbul, Marian Verhelst, and Edith Beigne · Conference Proceeding · 2022 Proceedings of the 2022 Design, Automation & Test in Europe (DATE 2022); 2022; pp. 220 - 225
Discrete Samplers for Approximate Inference in Probabilistic Machine learning Shirui Zhao, Nimish Shah, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2022 Design, Automation and Test in Europe Conference and Exhibition (DATE), 2022; 2022; pp. 1221 - 1226
Learn to Learn on Chip: Hardware-aware Meta-learning for Quantized Few-shot Learning at the Edge Nitish Satya Murthy, Peter Vrancx, Nathan Laubeuf, Peter Debacker, Francky Catthoor, and Marian Verhelst · Conference Proceeding · 2022 2022 IEEE/ACM 7TH SYMPOSIUM ON EDGE COMPUTING (SEC 2022); 2022; pp. 14 - 25
DPU-v2: Energy-efficient execution of irregular directed acyclic graphs Nimish Shah, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2022 2022 55TH ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO); 2022; pp. 1288 - 1307
Hardware-Efficient Residual Neural Network Execution in Line-Buffer Depth-First Processing Man Shi, Pouya Houshmand, Linyan Mei, and Marian Verhelst · Journal Article · 2021 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2021; Vol. 11; iss. 4; pp. 690 - 700
Efficient Execution of Temporal Convolutional Networks for Embedded Keyword Spotting JSP Giraldo, Vikram Jain, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2021; Vol. 29; iss. 12; pp. 1 - 9
A 96-channel 40nm CMOS Fully-Integrated Potentiostat for Electrochemical Monitoring Peishuo Li, Tom Molderez, and Marian Verhelst · Conference Proceeding · 2021 ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC); 2021; pp. 167 - 170
Embedded ML for Efficient Keyword Spotting Juan Sebastian Piedrahita Giraldo · Dissertation · 2021
ZigZag: Enlarging Joint Architecture-Mapping Design Space Exploration for DNN Accelerators Linyan Mei, Pouya Houshmand, Vikram Jain, Sebastian Giraldo, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Computers; 2021; Vol. 70; iss. 8; pp. 1160 - 1174
DepFiN: A 12nm, 3.8TOPs depth-first CNN processor for high res. image processing Koen Goetschalckx and Marian Verhelst · Conference Proceeding · 2021 2021 Symposium on VLSI Circuits; 2021; pp. 1 - 2
Analyzing the Energy-Latency-Area-Accuracy Trade-off Across Contemporary Neural Networks Vikram Jain, linyan Mei, and Marian Verhelst · Conference Proceeding · 2021 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2021; pp.
Performance analysis of in-band collision detection for dense wireless networks Tom Vermeulen, Brecht Reynders, Fernando E Rosas, Marian Verhelst, and Sofie Pollin · Journal Article · 2021 Eurasip Journal On Wireless Communications And Networking; 2021; Vol. 2021; iss. 1; pp.
A Scalable 128-channel, Time-multiplexed Potentiostat for Parallel Electrochemical Experiments Tom R Molderez, Korneel Rabaey, and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Circuits And Systems I-Regular Papers; 2021; Vol. 68; iss. 3; pp. 1068 - 1079
A chip-based 128-channel potentiostat for high-throughput studies of bioelectrochemical systems: Optimal electrode potentials for anodic biofilms Tom Molderez, Antonin Prévoteau, Frederik Ceyssens, Marian Verhelst, and Korneel Rabaey · Journal Article · 2021 Biosensors & Bioelectronics; 2021; Vol. 174; pp.
High-Utilization, High-Flexibility Depth-First CNN Coprocessor for Image Pixel Processing on FPGA Steven Colleman and Marian Verhelst · Journal Article · 2021 IEEE Transactions On Very Large Scale Integration (Vlsi) Systems; 2021; Vol. 29; iss. 3; pp. 461 - 471
Dynamic Complexity Tuning for Hardware-Aware Probabilistic Circuits Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, and Marian Verhelst · Conference Proceeding · 2021 IoT Streams for Data-Driven Predictive Maintenance and IoT, Edge, and Mobile for Embedded Machine Learning; 2021; pp. 283 - 295
Processor Architecture Optimization for Spatially Dynamic Neural Networks Steven Colleman, Thomas Verelst, Linyan Mei, Tinne Tuytelaars, and Marian Verhelst · Conference Proceeding · 2021 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC); 2021; pp.
LOMA: Fast Auto-Scheduling on DNN Accelerators through Loop-Order-based Memory Allocation Arne Symons, Linyan Mei, and Marian Verhelst · Conference Proceeding · 2021 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2021; pp. 1 - 4
PIU: A 248GOPS/W Stream-Based Processor for Irregular Probabilistic Inference Networks Using Precision-Scalable Posit Arithmetic in 28nm Nimish Shah, Laura Isabel Galindez Olascoaga, Shirui Zhao, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2021 Proceedings of 2021 IEEE International Solid- State Circuits Conference (ISSCC); 2021; Vol. 64; pp. 1 - 3
PeakRNN and StatsRNN: Dynamic Pruning in Recurrent Neural Networks Zuzana Jelcicova, Rasmus Jones, David Thorn Blix, Marian Verhelst, and Jens Sparso · Conference Proceeding · 2021 29TH EUROPEAN SIGNAL PROCESSING CONFERENCE (EUSIPCO 2021); 2021; pp. 416 - 420
A 96-channel 40nm CMOS Fully-Integrated Potentiostat for Electrochemical Monitoring Peishuo Li, Tom R Molderez, and Marian Verhelst · Conference Proceeding · 2021 IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021); 2021; pp. 167 - 170
Hardware-Aware Probabilistic Models: Learning, Inference and Use Cases Laura Isabel Galindez Olascoaga · Dissertation · 2020
Flexible, Self-adaptive Sense-and-Compress SoC for sub-microWatt always-on sensory recording Jaro De Roose, Haoming Xin, Ahmed Hallawa, Gerd Ascheid, Pieter Harpe, and Marian Verhelst · Journal Article · 2020 IEEE Solid State Circuits Letters; 2020; Vol. 3; pp. 362 - 365
Modeling and Optimization of Hybrid FinFET-Silicon Photonic Interconnects Nicolas Pantano, Michal Rakowski, Davide Guermandi, Marian Verhelst, and Joris Van Campenhout · Journal Article · 2020 Journal Of Lightwave Technology; 2020; Vol. 38; iss. 16; pp. 4325 - 4332
Binary CorNET: Accelerator for HR Estimation From Wrist-PPG Leandro Giacomini Rocha, Dwaipayan Biswas, Bram-Ernst Verhoef, Sergio Bampi, Chris Van Hoof, Mario Konijnenburg, Marian Verhelst, and Nick Van Helleputte · Journal Article · 2020 IEEE Transactions On Biomedical Circuits And Systems; 2020; Vol. 14; iss. 4; pp. 715 - 726
A 28-nm Coarse Grain 2D-Reconfigurable Array With Data Forwarding S Smets, MD Gormony, M Jivanescu, T Goedemé, and Marian Verhelst · Journal Article · 2020 IEEE Solid State Circuits Letters; 2020; Vol. 3; pp. 226 - 229
A 5-GS/s 158.6-mW 9.4-ENOB Passive-Sampling Time-Interleaved Three-Stage Pipelined-SAR ADC With Analog-Digital Corrections in 28-nm CMOS Athanasios T Ramkaj, Juan C Pena Ramos, Marcel JM Pelgrom, Michiel SJ Steyaert, Marian Verhelst, and Filip Tavernier · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 6; pp. 1553 - 1564
Discriminative Bias for Learning Probabilistic Sentential Decision Diagrams Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, Guy Van den Broeck, and Marian Verhelst · Conference Proceeding · 2020 Advances in Intelligent Data Analysis XVIII; 2020; Vol. 12080; pp. 184 - 196
Vocell: A 65-nm Speech-Triggered Wake-Up SoC for 10-μW Keyword Spotting and Speaker Verification Juan Sebastian Piedrahita Giraldo, Steven Lauwereins, Komail Badami, and Marian Verhelst · Journal Article · 2020 IEEE Journal Of Solid-State Circuits; 2020; Vol. 55; iss. 4; pp.
Machine Learning at the Edge Marian Verhelst and Boris Murmann · Book Chapter · 2020 NANO-CHIPS 2030; 2020; pp. 293 - 322
Feed-forward on-edge fine-tuning using static synthetic gradient modules Robby Neven, Marian Verhelst, Tinne Tuytelaars, and Toon Goedemé · Conference Proceeding · 2020 Lecture Notes in Computer Science - Computer Vision – ECCV 2020 Workshops; 2020; Vol. 12539; pp. 131 - 146
Opportunities and Limitations of Emerging Analog in-Memory Compute DNN Architectures Pouya Houshmand, Stefan Cosemans, Linyan Mei, Ioannis Papistas, Debjyoti Bhattacharjee, Peter Debacker, Arindam Mallik, Diederik Verkest, and Marian Verhelst · Conference Proceeding · 2020 2020 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM); 2020; pp.
Whitepaper AI at the edge - a roadmap Jan Rabaey, Marian Verhelst, Jo De Boeck, C Enz, Kristiaan De Greve, Adrian Ionescu, Myunhee Na, and Kathleen Philips · Other · 2020
DVAFS—Dynamic-Voltage-Accuracy-Frequency-Scaling Applied to Scalable Convolutional Neural Network Acceleration Bert Moons and Marian Verhelst · Book Chapter · 2020 System-Scenario-based Design Principles and Applications; 2020; pp. 99 - 111
On the convexity of bit depth allocation for linear MMSE estimation in wireless sensor networks F de la Hucha Arce, Panagiotis Patrinos, M Verhelst, and A Bertrand · Journal Article · 2020 IEEE Signal Processing Letters; 2020; Vol. 27; pp. 291 - 295
Distributed adaptive node-specific signal estimation in a wireless sensor network with noisy links Fernando de la Hucha Arce, M Moonen, M Verhelst, and A Bertrand · Journal Article · 2020 Signal Processing; 2020; Vol. 166; pp.
Acceleration of probabilistic reasoning through custom processor architecture Nimish Shirishbhai Shah, Laura I Galindez Olascoaga, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2020 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE); 2020; pp. 322 - 325
A Four-Quadrant Switched Capacitor DC-DC Convertor Enabling Power-Efficient Lab-Grade Potentiostats Matthias Swiggers, Tim Thielemans, Tom Molderez, Marian Verhelst, and Filip Tavernier · Conference Proceeding · 2020 2020 IEEE 20th Mediterranean Electrotechnical Conference ( MELECON); 2020; pp. 658 - 664
Review and Benchmarking of Precision-Scalable Multiply-Accumulate Unit Architectures for Embedded Neural-Network Processing Vincent Camus, Linyan Mei, Christian Enz, and Marian Verhelst · Journal Article · 2019 IEEE Journal On Emerging And Selected Topics In Circuits And Systems; 2019; Vol. 9; iss. 4; pp. 697 - 711
A Current-Driven Six-Channel Potentiostat for Rapid Performance Characterization of Microbial Electrolysis Cells Tom Molderez, Xu Zhang, Marian Verhelst, and Korneel Rabaey · Journal Article · 2019 IEEE Transactions On Instrumentation And Measurement; 2019; Vol. 68; iss. 12; pp. 4694 - 4702
Analog-and-Algorithm-Assisted Ultra-low Power Biosignal Acquisition Systems Rajesh Pamula Venkata, Chris Van Hoof, and Marian Verhelst · Book · 2019
Towards Hardware-Aware Tractable Learning of Probabilistic Models Laura Isabel Galindez Olascoaga, Wannes Meert, Nimish Shah, Marian Verhelst, and Guy Van den Broeck · Conference Proceeding · 2019 Advances in Neural Information Processing Systems 32 (NeurIPS); 2019; Vol. 32; pp.
PRU: Probabilistic Reasoning processing Unit for resource-efficient AI Nimish Shirishbhai Shah, Laura I Galindez Olascoaga, Wannes Meert, and Marian Verhelst · Other · 2019
Efficient Keyword Spotting through Hardware-Aware Conditional Execution of Deep Neural Networks Juan Sebastian Piedrahita Giraldo, Chris O'Connor, and Marian Verhelst · Conference Proceeding · 2019 Proceedings of IEEE/ACS International Conference on Computer Systems and Applications, AICCSA; 2019; pp.
Towards Hardware-Aware Tractable Learning of Probabilistic Models (workshop version) Laura Isabel Galindez Olascoaga, Wannes Meert, Marian Verhelst, and Guy Van den Broeck · Other · 2019
Breaking High-Resolution CNN Bandwidth Barriers With Enhanced Depth-First Execution Koen Goetschalckx and Marian Verhelst · Journal Article · 2019 IEEE Journal on Emerging and Selected Topics in Circuits and Systems; 2019; Vol. 9; iss. 2; pp. 323 - 331
Comparison of bit depth allocation problems for signal estimation in wireless sensor networks Fernando de la Hucha Arce, M Moonen, Marian Verhelst, and A Bertrand · Other · 2019
2.2 A 978GOPS/W Flexible Streaming Processor for Real-Time Image Processing Applications in 22nm FDSOI S Smets, T Goedeme, A Mittal, and M Verhelst · Conference Proceeding · 2019 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2019; Vol. 2019-February; pp. 44 - 46
A 978GOPS/W Flexible Streaming Processor for Real-Time Image Processing Applications in 22nm FDSOI Sander Smets, Toon Goedemé, Anurag Mittal, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE International Solid-State Circuits Conference Digest of Technical Papers; 2019; Vol. 62; pp. 44 - 46
Enabling Ultrasound In-Body Communication: FIR Channel Models and QAM Experiments Thomas Bos, Wentao Jiang, Jan D'hooge, Marian Verhelst, and Wim Dehaene · Journal Article · 2019 IEEE Transactions On Biomedical Circuits And Systems; 2019; Vol. 13; iss. 1; pp. 135 - 144
Real-time HR Estimation from wrist PPG using Binary LSTMs Leandro Giacomini Rocha, Muqing Liu, Dwaipayan Biswas, Bram-Ernst Verhoef, Sergio Bampi, Chris H Kim, Chris Van Hoof, Mario Konijnenburg, Marian Verhelst, and Nick Van Helleputte · Conference Proceeding · 2019 2019 IEEE BIOMEDICAL CIRCUITS AND SYSTEMS CONFERENCE (BIOCAS 2019); 2019; pp.
Sub-Word Parallel Precision-Scalable MAC Engines for Efficient Embedded DNN Inference Linyan Mei, Mohit Dandekar, Dimitrios Rodopoulos, Jeremy Constantin, Peter Debacker, Rudy Lauwereins, and Marian Verhelst · Conference Proceeding · 2019 2019 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS); 2019; pp. 6 - 10
A Wearable Wrist-Band with Compressive Sensing based Ultra-Low Power Photoplethysmography Readout Circuit Parvez Ahmmed, James Dieffenderfer, Jose Manuel Valero-Sarmiento, Venkata Rajesh Pamula, Nick Van Helleputte, Chris Van Hoof, Marian Verhelst, and Alper Bozkurt · Conference Proceeding · 2019 2019 IEEE 16TH INTERNATIONAL CONFERENCE ON WEARABLE AND IMPLANTABLE BODY SENSOR NETWORKS (BSN); 2019; pp.
ProbLP: A framework for low-precision probabilistic inference Nimish Shah, Laura I Galindez Olascoaga, Wannes Meert, and Marian Verhelst · Conference Proceeding · 2019 Proceedings of the 56th Annual Design Automation Conference 2019; 2019; pp. 190:1 - 6
A 64-channel, 1.1-pA-accurate On-chip Potentiostat for Parallel Electrochemical Monitoring Peishuo Li, Tom Molderez, Frederik Ceyssens, Korneel Rabaey, and Marian Verhelst · Conference Proceeding · 2019 ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC); 2019; pp. 317 - 320
Exploration and Design of Low-Energy Logic Cells for 1 kHz Always-on Systems Maxime Feyerick, Jaro De Roose, and Marian Verhelst · Conference Proceeding · 2019 Proceedings of the 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE; 2019; pp. 1697 - 1702