Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Percolation-based nanodielectrics of conductive and core-shell nanoparticles for high-voltage structural carbon fibre composite capacitors Ruben Windey, Francisco Molina-Lopez, Filip Tavernier, Michel Steyaert, Paula Moldenaers, and Martine Wevers · Conference Proceeding · 2022 Curran Associates Inc; 2022; pp. 104 - 104
A 13.5-Gb/s 5-mV-Sensitivity 26.8-ps-CLK–OUT Delay Triple-Latch Feedforward Dynamic Comparator in 28-nm CMOS Athanasios Ramkaj, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 European Solid-State Circuits Conference; 2019; pp. 167 - 170
A 7.5 - 42V Input High-VCR Monolithic DC-DC Converter Using Stacked Isolated SC Cores Elly De Pelecijn and Michiel Steyaert · Conference Proceeding · 2019 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC); 2019; pp. 247 - 250
A 13.5-Gb/s 5-mV-Sensitivity 26.8-ps-CLK–OUT Delay Triple-Latch Feedforward Dynamic Comparator in 28-nm CMOS Athanasios Ramkaj, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 IEEE Solid State Circuits Letters; 2019; Vol. 2; iss. 9; pp. 167 - 170
Optical Receiver with Schottky Photodiode and TIA with High Gain Amplifier in 28nm Bulk CMOS Wouter Diels, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC); 2019; pp.
Advanced Multiphasing: Pushing the Envelope of Fully Integrated Power Conversion Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2019 2019 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC); 2019; pp.
A Fully-Integrated 6:1 Cascaded Switched-Capacitor DC-DC Converter Achieving 74% Efficiency at 0.1W/mm² Tuur Van Daele, Elly De Pelecijn, Tim Thielemans, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2019 2019 15th Conference on Ph.D Research in Microelectronics and Electronics (PRIME); 2019; pp.
A 5GS/s 158.6mW 12b Passive-Sampling 8×-Interleaved Hybrid ADC with 9.4 ENOB and 160.5dB FoMS in 28nm CMOS Athanasios Ramkaj, Juan Carlos Pena Ramos, Yifan Lyu, Maarten Strackx, Marcel Pelgrom, Michiel Steyaert, Marian Verhelst, and Filip Tavernier · Conference Proceeding · 2019 ISSCC; 2019; Vol. 62; pp. 62 - 64
A Charge-Sharing Bandpass Filter Topology with Boosted Q-Factor in 40-nm CMOS Filipe Baumgratz, Sandro Ferreira, Michel Steyaert, Sergio Bampi, and Filip Tavernier · Conference Proceeding · 2018 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI); 2018; pp.
Monolithic 1310nm 1Gb/s optical receiver with schottky photodiode in 40nm Bulk CMOS Wouter Diels, Michel Steyaert, and Filip Tavernier · Conference Proceeding · 2018 2018 Conference on Lasers and Electro-Optics, CLEO 2018 - Proceedings; 2018; pp.
A Single-Topology Continuously-Scalable-Conversion-Ratio Fully Integrated Switched-Capacitor DC-DC Converter with 0-to-2.22V Output and 93% Peak-Efficiency Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2018 2018 IEEE Symposium on VLSI Circuits; 2018; Vol. 2018-June; pp. 103 - 104
IC Design for EMC, an overview Michel Steyaert · Conference Proceeding · 2018 12th International ESD Workshop; 2018; pp. 94 - 127
Radiation Tolerant, Low Noise Phase Locked Loops in 65 nm CMOS Technology J Prinzie, J Christiansen, P Moreira, M Steyaert, and P Leroux · Conference Proceeding · 2018 EPJ Web of Conferences; 2018; Vol. 170; pp.
A 1310/1550nm Fully-Integrated Optical Receiver with Schottky Photodiode and Low-Noise Transimpedance Amplifier in 40nm Bulk CMOS Wouter Diels, Michiel Steyaert, and filip Tavernier · Conference Proceeding · 2018 https://ieeexplore.ieee.org/document/8494294; 2018; pp. 242 - 245
A Capacitive DC-DC Converter for Stacked Loads With Wide Range DVS Achieving 98,2% Peak Efficiency in 40nm CMOS Tim Thielemans, Nicolas Butzen, Athanasios Sarafianos, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2018 Custom Integrated Circuits Conference (CICC), 2018; 2018; pp. 1 - 4
Proof of General Switched-Capacitor DC-DC Converter Law using Voltage-Domain Analysis Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2018 2018 IEEE 19TH WORKSHOP ON CONTROL AND MODELING FOR POWER ELECTRONICS (COMPEL); 2018; pp.
A Fully Integrated Switched-Capacitor Based AC-DC Converter for a 120VRMS Mains Interface Elly De Pelecijn and Michiel Steyaert · Conference Proceeding · 2018 European Solid-State Circuits Conference; 2018; pp. 46 - 49
A 36.4dB SNDR @ 5GHz 1.25GS/s 7b 3.56mW Single-Channel SAR ADC in 28nm Bulk CMOS Athanasios Ramkaj, Maarten Strackx, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2017 European Solid State Circuits Conference ; 2017; Vol. 43; pp. 167 - 170
Fully Integrated Power Management: The Missing Link? Michiel Steyaert, Aki Sarafianos, Nicolas Butzen, and Elly De Pelecijn · Conference Proceeding · 2017 2017 European Conference on Circuit Theory and Design (ECCTD) ; 2017; Vol. 2017; pp. 1 - 4
A 2.56 GHz Radiation Hard Phase Locked Loop ASIC for High Speed Serial Communication Links Jeffrey Prinzie, Jorgen Christiansen, Paulo Moreira, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2017 IEEE Transactions On Nuclear Science; 2017; Vol. 65; iss. 1; pp. 407 - 412
Modelling, design and characterization of Schottky diodes in 28nm bulk CMOS for 850/1310/1550nm fully integrated optical receivers Wouter Diels, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2017 2017 European Solid-State Device Research Conference (ESSDERC) ; 2017; pp. 224 - 227
A true two-quadrant fully integrated switched capacitor DC-DC converter supporting vertically stacked DVS-loads with up to 99.6% efficiency Aki Sarafianos and Michiel Steyaert · Conference Proceeding · 2017 2017 Symposium on VLSI Circuits ; 2017; pp. C210 - C211
A 2.56 GHz SEU Radiation Hard LC-Tank VCO for High-Speed Communication Links in 65 nm CMOS Technology Jeffrey Prinzie, Jorgen Christiansen, Paulo Moreira, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2017
Schottky diodes in 40nm bulk CMOS for 1310nm high-speed optical receivers Wouter Diels, Michiel Steyaert, and Filip Tavernier · Conference Proceeding · 2017 2017 Optical Fiber Communications Conference and Exhibition (OFC); 2017; Vol. Part F40-OFC 2017; pp. 1 - 3
A 1.1 W/mm2-Power-Density 82%-Efficiency Fully Integrated 3∶ 1 Switched-Capacitor DC-DC Converter in Baseline 28nm CMOS using Stage Outphasing and Multiphase Soft-Charging Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2017 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2017; Vol. 60; pp. 178 - 179
Highly integrated wavelength-locked Si photonic ring transmitter using direct monitoring of drop-port OMA Saurabh Agarwal, Mark Ingels, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2017 43rd European Solid-State Circuits Conference - ESSCIRC ; 2017; pp. 111 - 114
A Single-Event upset Robust 2.2 GHz – 3.2 GHz 345 fs Jitter PLL with Triple Modular Redundant Phase Detector in 65 nm CMOS Jeffrey Prinzie, Jorgen Christiansen, Paulo Moreira, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2016 Proceedings of the IEEE Asian Solid-State Circuits Conference (A-SSCC) ; 2016; pp. 285 - 288
MIMO Switched-Capacitor Converter using only Parasitic Capacitance with Scalable Parasitic Charge Redistribution Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2016 ESSCIRC CONFERENCE 2016; 2016; Vol. 2016-October; pp. 445 - 448
A Modelling and Design Approach for Push/Pull Switched Capacitor DC-DC Converters Aki Sarafianos and Michiel Steyaert · Conference Proceeding · 2016 2016 IEEE 17TH WORKSHOP ON CONTROL AND MODELING FOR POWER ELECTRONICS (COMPEL); 2016; pp. 1 - 6
Comparison of a 65 nm CMOS Ring- and LC-oscillator Based PLL in terms of TID and SEU sensitivity Jeffrey Prinzie, Jorgen Christiansen, Paulo Moreira, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2016
Wavelength locking of a Si photonic ring transmitter using a dithering-based OMA stabilizing feedback loop Saurabh Agarwal, Mark Ingels, Michal Rakowski, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2016 Optical Fiber Communications Conference And Exhibition - OFC ; 2016; pp.
A 94.6%-Efficiency Fully Integrated Switched-Capacitor DC-DC Converter in Baseline 40nm CMOS Using Scalable Parasitic Charge Redistribution Nicolas Butzen and Michiel Steyaert · Conference Proceeding · 2016 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2016; Vol. 59; pp. 220 - 221
A folding dickson-based fully integrated wide input range capacitive DC-DC converter achieving Vout/2-resolution and 71% average efficiency Aki Sarafianos, Joachim Pichler, Christoph Sandner, and Michiel Steyaert · Conference Proceeding · 2015 Solid-State Circuits Conference (A-SSCC), 2015 IEEE Asian; 2015; pp. 305 - 308
Wavelenght Locking of a Si Ring Modulator using an Integrated Drop-Port OMA Monitoring Circuit Saurabh Agarwal, Mark Ingels, Michal Rakowski, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2015 2015 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC); 2015; pp. 201 - 204
When hardware is free, power is expensive! Is integrated power management the solution? Michiel Steyaert, Filip Tavernier, Hans Meyvaert, Aki Sarafianos, and Nicolas Butzen · Conference Proceeding · 2015 European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2015 - 41th; 2015; Vol. 2015-October; pp. 26 - 34
Fast Switch Bootstrapping for GS/s High-Resolution Analog-to-Digital Converter Athanasios Ramkaj, Filip Tavernier, and Michiel Steyaert · Conference Proceeding · 2015 IEEE PhD Research in Microelectronics and Electronics (PRIME) ; 2015; Vol. 11; pp. 73 - 76
MGy Radiation Assessment of a Space-Graded Amplifier and ADC Ying Cao, Jens Verbeeck, Marco Van Uffelen, Laura Mont Casellas, Carlo Damiani, Emilio Ruiz Morales, Roberto Ranz Santana, Richard Meek, Bernhard Haist, Wouter De Cock, Ludo Vermeeren, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2015 Proceedings of the 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS) ; 2015; Vol. 2015-December; pp. 1 - 3
A MGy, Low-Offset Programmable Instrumentation Amplifier IC for Nuclear Applications Jens Verbeeck, Ying Cao, Marco Van Uffelen, Laura Mont Casellas, Carlo Damiani, Emilio Ruiz Morales, Roberto Ranz Santana, Richard Meek, Bernhard Haist, Wouter De Cock, Ludo Vermeeren, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2015 Proceedings of the 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS) ; 2015; Vol. 2015-December; pp. 1 - 4
A 4×20Gb/s WDM ring-based hybrid CMOS silicon photonics transceiver Michal Rakowski, Marianna Pantouvaki, Peter De Heyn, Peter Verheyen, Mark Ingels, Hongtao Chen, Jeroen De Coster, Guy Lepage, Brad Snyder, Kristin De Meyer, Michiel Steyaert, Nicola Pavarelli, Jun Su Lee, Peter O'Brien, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2015 IEEE International Solid-State Circuits Conference - ISSCC; 2015; Vol. 58; pp. 408 - 409
A Light-Load-Efficient 11/1 Switched-Capacitor DC-DC Converter with 94.7% Efficiency While Delivering 100mW at 3.3V Hans Meyvaert, Gerard Villar Pique, Ravi Karadi, Henk Jan Bergveld, and Michiel Steyaert · Conference Proceeding · 2015 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2015; Vol. 58; pp. 358 - 359
A 1 MGy TID Radiation-Tolerant 56 µW CMOS Temperature Sensor with ±1.7°C Accuracy Ying Cao, Jens Verbeeck, Marco Van Uffelen, Laura Mont Casellas, Carlo Damiani, Emilio Ruiz Morales, Roberto Ranz Santana, Richard Meek, Bernhard Haist, Wouter De Cock, Ludo Vermeeren, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2015 Proceedings of the 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS) ; 2015; Vol. 2015-December; pp. 1 - 4
The Folding Dickson Converter: A Step Towards Fully Integrated Wide Input Range Capacitive DC-DC Converters Aki Sarafianos and Michiel Steyaert · Conference Proceeding · 2014 European Solid State Circuits Conference (ESSCIRC), ESSCIRC 2014 - 40th ; 2014; pp. 267 - 270
Monolithic Switched-Capacitor DC-DC Towards High Voltage Conversion Ratios Hans Meyvaert, Aki Sarafianos, Nicolas Butzen, and Michiel Steyaert · Conference Proceeding · 2014 Control and Modeling for Power Electronics ; 2014; pp. 1 - 5
Design of a frequency reference based on a PVT-independent transmission line delay Florian De Roose, Valentijn De Smedt, Wouter Volkaerts, Michiel Steyaert, Georges Gielen, Patrick Reynaert, and Wim Dehaene · Conference Proceeding · 2014 Proceedings of the 2014 International Symposium on Circuits and Systems ; 2014; pp. 1772 - 1775
Highly sensitive, low-power, 10-20Gb/s transimpedance amplifier based on cascaded CMOS inverter gain stages Michal Rakowski, Mark Ingels, Kristin De Meyer, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2014 Optical Interconnects Conference 2014 ; 2014; pp. 115 - 116
Monitoring optical modulation amplitude using a low-power CMOS circuit for thermal control of Si ring transmitters Saurabh Agarwal, Mark Ingels, Michal Rakowski, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2014 European Conference on Optical Communication - ECOC ; 2014; pp. 1 - 3
EMI resisting voltage regulator with large signal PSR up to 1GHz F Michel and M Steyaert · Conference Proceeding · 2013 European Solid-State Circuits Conference; 2013; pp. 391 - 394
A stacked full-bridge topology for high voltage DC-AC conversion in standard CMOS technology Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2013 Proceedings of the Asian solid-state circuits conference ; 2013; pp. 65 - 68
Electronic Dispersion Correction Circuit for Plastic Optical Fiber Channels Henk Motte, Olivier Chasles, Jean Pierre Goemaere, Nobby Stevens, Michiel Steyaert, and Lieven De Strycker · Conference Proceeding · 2013 Intelligent Signal Processing and Communications Systems (ISPACS), 2013 International Symposium on ; 2013; pp. 743 - 748
Linear Equalization Filter for PMMA Fiber Channels Jean Pierre Goemaere, Nobby Stevens, Michiel Steyaert, Henk Motte, Olivier Chasles, and Lieven De Strycker · Conference Proceeding · 2013 CAS 2013 Proceedings ; 2013; Vol. 2; pp. 207 - 210
A monolithic stacked class-D approach for high voltage DC-AC conversion in standard CMOS Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2013 Proceedings of the European solid-state circuits conference ; 2013; pp. 165 - 168
A 120GHz Fully Integrated 10Gb/s Wireless Transmitter with On-Chip Antenna in 45nm Low Power CMOS Noà L Deferm, Wouter Volkaerts, Juan Osorio, Anton de Graauw, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2013 Proceedings of the ESSCIRC ; 2013; Vol. 39; pp. 331 - 334
Development of a controller platform for educational projects: A case study Valentijn De Smedt, Hans De Clercq, Piet Callemeyn, Jelle Van Rethy, Maarten Tytgat, Jens Verbeeck, Bob Puers, Michiel Steyaert, Paul Leroux, Georges Gielen, and Wim Dehaene · Conference Proceeding · 2013 Proceedings of SEFI Annual Conference 2013: Engineering education fast forward 1973 > 2013 >>; 2013; pp. 1 - 8
Robustness M Steyaert · Conference Proceeding · 2013 Nyquist AD Converters, Sensor Interfaces, and Robustness - Advances in Analog Circuit Design, AACD 2012; 2013; pp. 201 - ...
Analog circuit design in organic thin-film transistor technologies on foil: An overview H Marien, M Steyaert, E Van Veenendaal, and P Heremans · Conference Proceeding · 2013 Nyquist AD Converters, Sensor Interfaces, and Robustness - Advances in Analog Circuit Design, AACD 2012; 2013; pp. 269 - 279
Low-power, low-penalty, flip-chip integrated, 10Gb/s ring-based 1V CMOS photonics transmitter M Rakowski, M Pantouvaki, H Yu, W Bogaerts, K De Meyer, M Steyaert, B Snyder, P O'Brien, J Ryckaert, P Absil, and J Van Campenhout · Conference Proceeding · 2013 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference, OFC/NFOEC 2013; 2013
Monolithic Power Management Front End with High Voltage Dense Energy Storage for Wireless Powering Hans Meyvaert, Arne Crouwels, Stijn Indevuyst, and Michiel Steyaert · Conference Proceeding · 2013 Ph.D. Research in Microelectronics and Electronics ; 2013; pp. 277 - 280
A 120GHz quadrature frequency generator with 16.2GHz tuning range in 45nm CMOS Wouter Volkaerts, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2013 Radio Frequency Integrated Circuits Symposium (RFIC), 2013 IEEE ; 2013; pp. 207 - 210
From AC to DC and reverse, the next fully integrated power management challenge Michiel Steyaert, Hans Meyvaert, and Piet Callemeyn · Conference Proceeding · 2013 Advances in Analogue Circuit and Design ; 2013; pp. 103 - 132
A 63,000 Q-factor relaxation oscillator with switched-capacitor integrated error feedback Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2013 IEEE International Solid-State Circuits Conference: Digest of technical papers ; 2013; Vol. 56; pp. 186 - 187
Low-power, low-penalty, flip-chip integrated, 10Gb/s ring-based 1V CMOS photonics transmitter Michal Rakowski, Marianna Pantouvaki, Hui Yu, Wim Bogaerts, Kristin De Meyer, Michiel Steyaert, Bradley Snyder, Peter O'Brien, Julien Ryckaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2013 Optical Fiber Communication Conference and Exposition/National Fiber Optic Engineers Conference - OFCNFOEC ; 2013; pp.
A 265Vrms Mains Interface Integrated in 0.35um CMOS Hans Meyvaert, Patrick Smeets, and Michiel Steyaert · Conference Proceeding · 2012 European Solid-State Circuits Conference ; 2012; pp. 438 - 441
Optimization of Fully-Integrated Power Converter Circuits Comprising Tapered Inductor Layout and Temperature Effects Piet Callemeyn, Dimitri De Jonghe, Georges Gielen, and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design ; 2012; pp. 37 - 40
Monolithic Integration of a Class DE Inverter for On-Chip Resonant DC-DC converters Piet Callemeyn and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the 38th European Solid-State Circuits Conference ; 2012; pp. 325 - 328
Dual-Output Capacitive DC-DC Converter with Power Distribution Regulator in 90 nm CMOS Nico De Clercq, Tom Van Breussegem, Wim Dehaene, and Michiel Steyaert · Conference Proceeding · 2012 Proceedings of the 38th European Solid-State Circuits Conference ; 2012; pp. 169 - 172
An Ultra-Low-Power, Batteryless Microsystem for Wireless Sensor Networks Hans Danneels, Valentijn De Smedt, Christophe De Roover, Soheil Radiom, Nick Van Helleputte, Cedric Walravens, Zheng Li, Michiel Steyaert, Marian Verhelst, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2012 Procedia Engineering ; 2012; Vol. 47; pp. 1406 - 1409
A radiation hard delta Sigma ADC in 130 nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2012 Proceedings of the 8th conference on Ph.D. Research in Microelectronics & Electronics; 2012; pp. 91 - 94
Ultra low voltage ΔΣ modulation using biased inverters in 130nm CMOS Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2012 Faible Tension Faible Consommation - FTFC ; 2012; pp. 1 - 4
Time Domain Model for Costas Loop Based QPSK Receiver Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2012 PRIME 2012; 8th Conference on Ph.D. Research in Microelectronics and Electronics; 2012; pp. 313 - 316
1D and 2D analog 1.5kHz air-stable organic capacitive touch sensors on plastic foil H Marien, M Steyaert, E Van Veenendael, and P Heremans · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 310 - 311
Radiation-tolerant MASH Delta-Sigma Time-to-Digital Converters Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2012 Nyquist AD Converters, Sensor Interfaces, and Robustness - Advances in Analog Circuit Design, AACD 2012; 2012; pp. 223 - 243
On-Chip Gain Reconfigurable 1.2 V 24 uW Chopping Instrumentation Amplifier with Automatic Resistor Matching in 0.13 um CMOS Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2012 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2012; Vol. 55; pp. 372 - 373
Low-power, 10-Gbps 1.5-Vpp differential CMOS driver for a silicon electro-optic ring modulator Michal Rakowski, Julien Ryckaert, Marianna Pantouvaki, Hui Yu, Wim Bogaerts, Kristin De Meyer, Michiel Steyaert, Philippe Absil, and Joris Van Campenhout · Conference Proceeding · 2012 IEEE Custom Integrated Circuits Conference - CICC; 2012; pp.
DC-DC converters: From discrete towards fully integrated CMOS M Steyaert, T Van Breussegem, H Meyvaert, P Callemeyn, and M Wens · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 42 - 49
Circuit design in organic semiconductor technologies P Heremans, W Dehaene, M Steyaert, K Myny, H Mariën, J Genoe, G Gelinck, and E Van Veenendaal · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 5 - 12
Part II : Sigma delta converters M Steyaert · Conference Proceeding · 2011 Analog Circuit Design - Robust Design, Sigma Delta Converters, RFID; 2011; pp. 105 - 106
A 0.7mW 13b temperature-stable MASH Delta-Sigma TDC with delay-line assisted calibration Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of technical papers - IEEE Asian Solid-State Circuits Conference 2011 (A-SSCC 2011) ; 2011; pp. 361 - 364
Linearity-enhanced Low-Power Distributed Low-Noise Amplifier in CMOS Brecht Machiels, Patrick Reynaert, and Michiel Steyaert · Conference Proceeding · 2011
An Active Guarding Technique for Substrate Noise Suppression on LC-tank Oscillators Hao-Ming Chao, Kuei-Ann Wen, and Michiel Steyaert · Conference Proceeding · 2011 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011; 2011; pp. 385 - 388
Generation of Gbit/s modulated millimeter wave signals for measurement Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 2011 41st European Microwave Conference ; 2011; pp. 906 - 909
A 1.65W Fully Integrated 90nm Bulk CMOS Intrinsic Charge Recycling Capacitive DC-DC converter: Design & Techniques for High Power Density Hans Meyvaert, Tom Van Breussegem, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of the IEEE Energy Conversion Congress & Exposition ; 2011; pp. 3234 - 3241
DC-DC Converter Assisted Two-Stage Amplifier in Organic Thin-Film Transistor Technology on Foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference ; 2011; pp. 411 - 414
Circuit design in organic semiconductor technologies Paul Heremans, Wim Dehaene, Michiel Steyaert, Kris Myny, Hagen Marien, Jan Genoe, and Gerwin Gelinck · Conference Proceeding · 2011 ESSDERC 2011 - 41st European Solid State Device Research Conference ; 2011; pp. 5 - 12
A Monolithic 0.77W/mm2 Power Dense Capacitive DC-DC Step-Down Converter in 90nm Bulk CMOS Hans Meyvaert, Tom Van Breussegem, and Michiel Steyaert · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference ; 2011; pp. 483 - 486
DC-DC Converters: From Discrete Towards Fully Integrated CMOS Michiel Steyaert, Tom Van Breussegem, Hans Meyvaert, Piet Callemeyn, and Mike Wens · Conference Proceeding · 2011 Proceedings of the 37th European Solid-State Circuits Conference; 2011; pp. 59 - 66
Differential input topologies with immunity to electromagnetic interference Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 203 - 206
A Colpitts LC VCO with Miller-Capacitance Gm Enhancing and Phase Noise Reduction Techniques Lianming LI, Patrick Reynaert, and Michiel Steyaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 491 - 494
Design of a MGy tolerant instrumentation amplifier using a correlated double sampling technique in 130nm CMOS Jens Verbeeck, Marco Van Uffelen, Michiel Steyaert, and Paul Leroux · Conference Proceeding · 2011 Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS; 2011; pp. 156 - 159
A 200 GHz Downconverter in 90 nm CMOS Maarten Tytgat, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 239 - 242
A standard cell based all-digital time-to-digital converter with reconfigurable resolution and on-line background calibration Kameswaran Vengattaramane, Jonathan Borremans, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2011 European Solid-State Circuits Conference; 2011; pp. 275 - 278
ADC design in organic thin-film electronics technology on plastic foil Hagen Marien, Michiel Steyaert, Erik van Veenendaal, and Paul Heremans · Conference Proceeding · 2011 IMEKO TC4 International Workshop on ADC Modelling, Testing and Data Converter Analysis and Design 2011, IWADC 2011 and IEEE 2011 ADC Forum; 2011; pp. 115 - 119
Design and Assessment of a 6ps-resolution Time-to-Digital Converter with 5MGy Gamma-Dose Tolerance for Nuclear Instrumentation Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 ANIMMA 2011 - Proceedings: 2nd International Conference on Advancements in Nuclear Instrumentation, Measurement Methods and their Applications; 2011; pp.
118GHz fundamental VCO with 7.8% tuning range in 65nm CMOS Wouter Volkaerts, Michiel Steyaert, and Patrick Reynaert · Conference Proceeding · 2011 Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium; 2011
Fully Integrated DC-DC converters: Topologies and Control Tom Van Breussegem, Mike Wens, and Michiel Steyaert · Conference Proceeding · 2011 Advances in Analog Circuit Design; 2011
Radiation effects upon the mismatch of identically laid out transistor pairs Jens Verbeeck, Paul Leroux, and Michel Steyaert · Conference Proceeding · 2011 Proceedings of the IEEE International Conference on Microelectronic Test Structures; 2011; pp.
A 1.7mW 11b 1-1-1 MASH Delta-Sigma Time-to-Digital Converter Ying Cao, Paul Leroux, Wouter De Cock, and Michiel Steyaert · Conference Proceeding · 2011 Digest of Technical Papers - IEEE International Solid-State Circuits Conference ; 2011; pp. 480 - 482
A 250 mV 7.5 uW 61 dB SNDR CMOS SC Delta Sigma Modulator using a near threshold voltage biased CMOS inverter technique Fridolin Michel and Michiel Steyaert · Conference Proceeding · 2011 ISSCC ; 2011; Vol. 58; pp. 476 - 477
Comparator-Based Switched-Capacitor Delta Sigma A/D Converters Koen Cornelissens and Michiel Steyaert · Conference Proceeding · 2011 ANALOG CIRCUIT DESIGN: ROBUST DESIGN, SIGMA DELTA CONVERTERS, RFID; 2011; pp. 157 - 176
Control of Fully Intergrated DC-DC converters in CMOS Tom Van Breussegem, Mike Wens, and Michiel Steyaert · Conference Proceeding · 2011 Analog Circuit Design - Low Voltage Low Power; Short Range Wireless Front-Ends; Power Management and DC-DC, AACD 2011; 2011; pp. 357 - 374
Application of Organic Thin-Film Transistors for Circuits on Flexible Foils Paul Heremans, Kris Myny, Hagen Marien, Erik van Veenendaal, Soeren Steudel, Michel Steyaert, and Gerwin Gelinck · Conference Proceeding · 2010 IDW'10: PROCEEDINGS OF THE 17TH INTERNATIONAL DISPLAY WORKSHOPS, VOLS 1-3; 2010; Vol. 2; pp. 1677 - 1680