Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
A Monolithic Capacitive DC-DC converter for a low voltage energy scavenging application Tom Van Breussegem and Michel Steyaert · Conference Proceeding · 2010 Proceedings of the International Workshop on Micro and Nanotechnology for Power Generation and Energy Conversion Application ; 2010; Vol. 10
A 90nm CMOS 5-bit 2GS/s DAC for UWB Transceivers Xu Wu and Michel Steyaert · Conference Proceeding · 2010 2010 IEEE International Conference on Ultra-Wideband; 2010; Vol. 1; pp. 233 - 236
A 5.5 Gbit/s Optical Receiver in 130 nm CMOS with Speed-Enhanced Integrated Photodiode Filip Tavernier and Michel Steyaert · Conference Proceeding · 2010 36th European Solid-State Circuits Conference ; 2010; pp. 542 - 545
A Fully Integrated 74% Efficiency 3.6V to 1.5V 150mW Capacitive Point-of-Load DC/DC-Converter Tom Van Breussegem and Michel Steyaert · Conference Proceeding · 2010 European Solid-State Circuits Conference ; 2010; Vol. 36; iss. 1; pp. 434 - 437
A 60GHz 15.7mW Static Frequency Divider in 90nm CMOS Lianming Li, Patrick Reynaert, and Michel Steyaert · Conference Proceeding · 2010 ESSCIRC 2010 - 36th European Solid State Circuits Conference; 2010; pp. 246 - 249
A 500 mV 650 pW Random Number Generator in 130 nm CMOS for a UWB Localization System Christophe De Roover and Michel Steyaert · Conference Proceeding · 2010 Proceedings of the 36th European Solid-State Circuits Conference ; 2010; pp. 278 - 281
An organic integrated capacitive DC-DC up-converter Hagen Marien, Michel Steyaert, Soeren Steudel, Peter Vicca, Steve Smout, Gerwin Gelinck, and Paul Heremans · Conference Proceeding · 2010 Conference Proceedings, 36th European Solid-State Circuits Conference ; 2010; pp. 510 - 513
A Fully Integrated Gearbox Capacitive DC/DC-converter in 90nm CMOS: Optimization, Control and Measurements Tom Van Breussegem and Michel Steyaert · Conference Proceeding · 2010 IEEE workshop on Control and Modeling in Power Electronics COMPEL2010 ; 2010; Vol. 12
Power Efficient Distributed Low-Noise Amplifier in 90 nm CMOS Brecht Machiels, Patrick Reynaert, and Michel Steyaert · Conference Proceeding · 2010 2010 IEEE Radio Frequency Integrated Circuits Symposium ; 2010; pp. 131 - 134
Comparator-based switched-capacitor Delta-Sigma A/D converters Koen Cornelissens and Michiel Steyaert · Conference Proceeding · 2010 AACD 2010 Proceedings ; 2010; pp. 155 - 176
An Analog Organic First-Order CT Delta-Sigma ADC on a Flexible Plastic Substrate with 26.5dB Precision Hagen Marien, Michel Steyaert, Nick van Aerle, and Paul Heremans · Conference Proceeding · 2010 2010 Digest of technical papers ; 2010; Vol. 53; pp. 136 - 137
All-digital differential VCO-based A/D conversion Jorg Daniels, Wim Dehaene, and Michel Steyaert · Conference Proceeding · 2010 Proceedings of 2010 IEEE International Symposium on Circuits and Sytems (ISCAS) ; 2010; pp. 1085 - 1088
A 0.02mm2 65nm CMOS 30MHz BW all-digital differential VCO-based ADC with 64dB SNDR Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2010 2010 IEEE Symposium on VLSI Circuits (VLSIC), Digest of Technical Papers ; 2010; pp. 155 - 156
A High-Speed POF Receiver with 1 mm Integrated Photodiode in 180 nm CMOS Filip Tavernier and Michel Steyaert · Conference Proceeding · 2010 IEEE Journal of Solid-State Circuits 2010 36TH EUROPEAN CONFERENCE AND EXHIBITION ON OPTICAL COMMUNICATION (ECOC), VOLS 1 AND 2; 2010; Vol. 1-2; pp.
RFID, Where are they? W Dehaene, G Gielen, M Steyaert, H Danneels, V Desmedt, C De Roover, Z Li, M Verhelst, N Van Helleputte, S Radiom, C Walravens, and L Pleysier · Conference Proceeding · 2009 ESSDERC 2009 - Proceedings of the 39th European Solid-State Device Research Conference; 2009; pp. 56 - 63
A DMOS integrated 320mW capacitive 12V to 70V DC/DC-converter for LIDAR applications Tom Van Breussegem, Michel Steyaert, Mike Wens, David Geys, Eldert Geukens, and Jean-Michel Redouté · Conference Proceeding · 2009 Proceedings of the Energy Conversion Conference and Exposition 2009; 2009; Vol. 1; pp. 3732 - 3736
Ultra Low Power Detection Circuits in 130nm CMOS for a Wireless UWB Localization System Christophe De Roover and Michel Steyaert · Conference Proceeding · 2009 Proceedings of the 35th European Solid-State Circuits Conference; 2009; pp. 256 - 259
A 1-V 84-dB DR 1-MHz Bandwidth Cascade 3-1 Delta-Sigma ADC in 65-nm CMOS Koen Cornelissens and Michel Steyaert · Conference Proceeding · 2009 Proceedings of the 35th European Solid-State Circuits Conference (ESSCIRC); 2009; pp. 333 - 336
A Single Bit 6.8mW 10MHz Power-Optimized CTDS with 67dB DR in 90nm CMOS Pieter Crombez, Geert Van der Plas, Michel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 ESSCIRC ; 2009; pp. 337 - 340
Modelling of gamma-Radiation Effects in Bipolar Transistors with VHDL-AMS Wouter De Cock, Hans Versmissen, Paul Leroux, Marco Van Uffelen, and Michel Steyaert · Conference Proceeding · 2009 Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS; 2009; pp. 61 - 64
A mixed-signal organi 1kHz comparator with low VT sensitivity on flexible plastic substrate Hagen Marien, Michel Steyaert, Nick van Aerle, and Paul Heremans · Conference Proceeding · 2009 ESSCIRC 2009 Proceedings of the 35th European Solid-State Circuits Conference; 2009; pp. 120 - 123
A Low Power, Area Efficient Limiting Amplifier in 90nm CMOS Filip Tavernier and Michel Steyaert · Conference Proceeding · 2009 Proceedings of the 35th European Solid-State Circuits Conference; 2009; pp. 128 - 131
An 800mW Fully-Integrated 130nm CMOS DC-DC Step-Down Multi-Phase Converter, With On-Chip Spiral Inductors and Capacitors Mike Wens and Michel Steyaert · Conference Proceeding · 2009 Energy Conversion Congress and Exposition, 2009; 2009; pp. 3579 - 3582
An Integrated 10A, 2.2ns Rise-Time Laser-Diode Driver for LIDAR Applications Mike Wens, Jean-Michel Redouté, Tim Blanchaert, Nicolas Bleyaert, and Michel Steyaert · Conference Proceeding · 2009 2009 Proceedings of ESSCIRC; 2009; pp. 144 - 147
A low power mm-wave oscillator using power matching techniques L Li, P Reynaert, and M Steyaert · Conference Proceeding · 2009 Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium; 2009; pp. 469 - 472
A 500kHz-10MHz Multimode Power-Performance Scalable 83-to-67dB DR CTDS in 90 nm CMOS with Flexible Analog Core Circuitry Pieter Crombez, Geert Van der Plas, Michel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 Symposium on VLSI Circuits - Digest of Technical Papers; 2009; pp. 70 - 71
Massively multi-topology sizing of analog integrated circuits Pieter Palmers, Trent McConaghy, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2009 Design, Automation & Test in Europe Conference & Exhibition, 2009. DATE '09 ; 2009; Vol. 1; pp. 706 - 711
A 2mm2 O.1-to-5GHz SDR Receiver in 45 nm Digital CMOS V Giannini, P Nuzzo, C Soens, K Vengattaramane, M Steyaert, J Ryckaert, M Goffioul, B Debaillie, J Van Driessche, J Craninckx, and M Ingels · Conference Proceeding · 2009 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2009; pp. 408 - 409
A Fully Integrated Pinless Long-Range Power Supply with On-Chip Antenna for Scavenging-Based RFID Tag Powering Soheil Radiom, Christophe De Roover, Guy Vandenbosch, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2009 Silicon Monolithic Integrated Circuits in RF Systems, 2009. SiRF '09. IEEE Topical Meeting on; 2009; pp. 205 - 208
RFID, WHere are they ? Wim Dehaene, Georges Gielen, Michel Steyaert, Hans Danneels, Valentijn De Smedt, Christophe De Roover, Zheng Li, Marian Verhelst, Nick Van Helleputte, Soheil Radiom, Cedric Walravens, and L Pleysier · Conference Proceeding · 2009 Proceedings of ESSCIRC 2009; 2009; pp. 37 - 44
A 82% Efficiency 0.5% Ripple 16-Phase Fully Integrated Capacitive Voltage Doubler Tom Van Breussegem and Michel Steyaert · Conference Proceeding · 2009 Proceedings of the 2009 Symposium on VLSI Circuits; 2009; pp. 198 - 199
A 0.1-5GHz dual-VCO software-defined sigma delta frequency synthesizer in 45nm digital CMOS Pierluigi Nuzzo, Kameswaran Vengattaramane, Mark Ingels, Vito Giannini, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium - RFIC; 2009; pp. 321 - 324
A gated ring oscillator based parallel-TDC with digital resolution enhancement Kameswaran Vengattaramane, Michiel Steyaert, Jan Craninckx, and Jonathan Borremans · Conference Proceeding · 2009 Proceedings of the 5th IEEE Asian Solid-State Circuits Conference - A-SSCC; 2009; pp. 57 - 60
A 500kHz-10MHz Multimode Power-Performance Scalable 83-to-67dB DR CT Delta Sigma in 90 nm Digital CMOS with Flexible Analog Core Circuitry Pieter Crombez, Geert Van der Plas, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2009 2009 SYMPOSIUM ON VLSI CIRCUITS; 2009; pp. 58 - +
A 82% Efficiency 0.5% Ripple 16-Phase Fully Integrated Capacitive Voltage Doubler Tom Van Breussegem and Michiel Steyaert · Conference Proceeding · 2009 2009 SYMPOSIUM ON VLSI CIRCUITS; 2009; pp. 160 - 161
Analysis of fractional spur reduction using SD noise cancellation in digital PLL Kameswaran Vengattaramane, Jan Craninckx, and Michiel Steyaert · Conference Proceeding · 2009 Proceedings of the IEEE International Conference on Circuits and Systems - ISCAS ; 2009; pp. 2397 - 2400
Time to Digital Conversion: An Alternative View on Synchronization J Daniels, W Dehaene, and M Steyaert · Conference Proceeding · 2009 ANALOG CIRCUIT DESIGN: HIGH-SPEED CLOCK AND DATA RECOVERY, HIGH-PERFORMANCE AMPLIFIERS, POWER MANAGEMENT; 2009; pp. 77 - +
Part II: Integrated pa's: From wireline to rf M Steyaert · Conference Proceeding · 2008 Analog Circuit Design - Sensors, Actuators and Power Drivers; Integrated Power Amplifiers from Wireline to RF; Very High Frequency Front Ends, AACD 2007; 2008; pp. 91 - 92
A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous Delta-Sigma ADC applications Jorg Daniels, Wim Dehaene, Michiel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE Asian Solid-State Circuits Conference, 2008; 2008; pp. 365 - 368
Automated extraction of expert knowledge in analog topology selection and sizing Trent Mc Conaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2008 Computer-Aided Design, 2008. ICCAD 2008. IEEE/ACM International Conference on ; 2008; pp. 392 - 395
A 90nm CMOS mm-wave VCO using an LC Tank with Inductive Division Lianming Li, Patrick Reynaert, and Michel Steyaert · Conference Proceeding · 2008 ESSCIRC 2008: PROCEEDINGS OF THE 34TH EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2008; pp. 238 - 241
Design, Assessment and Modeling of an Integrated 0.4 μm SiGe Bipolar VCSEL Driver under gamma radiation Paul Leroux, Wouter De Cock, Marco Van Uffelen, and Michel Steyaert · Conference Proceeding · 2008 Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS; 2008; pp. 53 - 58
Design and Radiation Assessment of Optoelectronic Transceiver Circuits for ITER Paul Leroux, Wouter De Cock, Marco Van Uffelen, and Michel Steyaert · Conference Proceeding · 2008 Proceedings of the Topical Workshop on Electronics for Particle Physics, TWEPP 2008; 2008; pp. 167 - 171
RF-CMOS: Analog Circuits and Digital Techniques Michel Steyaert, Fréderique Gobert, Lianming Li, Brecht Machiels, and Patrick Reynaert · Conference Proceeding · 2008
A/D Conversion Using an Asynchronous Delta-Sigma Modulator and a Time-to-Digital Converter Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 1648 - 1651
An Instrumentation Amplifier Input Circuit with a High Immunity to EMI Jean-Michel Redoute and Michiel Steyaert · Conference Proceeding · 2008 2008 INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY (EMC EUROPE); 2008; pp. 587 - 592
A CMOS source-buffered differential input stage with high EMI suppression Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2008 Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European ; 2008; pp. 318 - 321
A 11 mW 68dB SFDR 100 MHz bandwidth delta-sigma-DAC based on a 5-bit 1GS/s core in 130 nm Pieter Palmers and Michel Steyaert · Conference Proceeding · 2008 European solid-state circuits conference ; 2008; pp. 214 - 217
A high-speed fully integrated optical receiver in standard 130nm CMOS Filip Tavernier and Michel Steyaert · Conference Proceeding · 2008 Electronics, Circuits and Systems, 2008. ICECS 2008. 15th IEEE International Conference on ; 2008; pp. 806 - 809
High voltage xDSL line drivers in nanometer technologies Bert Serneels, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2008 ANALOG CIRCUIT DESIGN; 2008; pp. 179 - 203
A Fully-Integrated 0.18µm CMOS DC-DC Step-Down Converter, Using a Bondwire Spiral Inductor Mike Wens and Michel Steyaert · Conference Proceeding · 2008 IEEE Proceedings of the Custom Integrated Circuits Conferenc; 2008; pp. 268 - 271
A CMOS source-buffered differential input stage with high EMI suppression Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2008 Custom Integrated Circuits Conference, 2008. CICC 2008. IEEE ; 2008; pp. 643 - 646
A fully-integrated Wienbridge topology for ultra-low-power 86ppm/°C 65nm CMOS 6MHz clock reference with amplitude regulation Valentijn De Smedt, Pieter De Wit, Wim Vereecken, and Michel Steyaert · Conference Proceeding · 2008 Proceedings of the 34th European Solid-State Circuits Conference ; 2008; pp. 394 - 397
Power efficient 4.5Gbit/s optical receiver in 130nm CMOS with integrated photodiode Filip Tavernier and Michel Steyaert · Conference Proceeding · 2008 ESSCIRC 2008: PROCEEDINGS OF THE 34TH EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2008; pp. 162 - 165
A Fully-Integrated 130nm CMOS DC-DC Step-Down Converter, Regulated by a Constant On/Off-Time Control System Mike Wens, Koen Cornelissens, and Michel Steyaert · Conference Proceeding · 2008 IEEE Proceedings of the European Solid State Circuits Conference ; 2008; pp. 62 - 65
EMI Resisting Smart-power Integrated LIN Driver with Reduced Slope Pumping Jean-Michel Redoute and Michiel Steyaert · Conference Proceeding · 2008 PROCEEDINGS OF THE IEEE 2008 CUSTOM INTEGRATED CIRCUITS CONFERENCE; 2008; pp. 643 - 646
Automated extraction of expert domain knowledge from genetic programming synthesis results Trent Mc Conaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2008 Genetic Programming Theory and Practice VI; 2008; pp. 111 - 124
A low-power mixing DAC IR-UWB receiver Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, B Boury, Wim Dehaene, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 2697 - 2700
An I/Q based CMOS pulsed ultra wideband receiver front end for the 3.1 to 10.6 GHz band W Vereecken and MSJ Steyaert · Conference Proceeding · 2007 ICUWB2006: 2006 IEEE International Conference on Ultra-Wideband - Proceedings; 2007; pp. 191 - 194
Pulse based wideband radio: synchronization and channel monitoring Wim Vereecken and Michel Steyaert · Conference Proceeding · 2007 2007 IEEE INTERNATIONAL WORKSHOP ON RADIO-FREQUENCY INTEGRATION TECHNOLOGY, PROCEEDINGS; 2007; pp. 17 - 20
Analysis and Performance Comparison of a Cascade 3-1 Delta-Sigma Topology Koen Cornelissens and Michel Steyaert · Conference Proceeding · 2007 Proceedings of ICECS ; 2007; pp. 222 - 226
A 100kHz-20MHz Reconfigurable Nauta Gm-C Biquad Low-Pass Filter in 0.13um CMOS Pieter Crombez, Jan Craninckx, and Michel Steyaert · Conference Proceeding · 2007 Asian Solid State Circuit Conference ; 2007; pp. 444 - 447
An integrated DC current regulator with high EMI suppression Jean-Michel Redouté, Cedric Walravens, Steven Van Winckel, and Michel Steyaert · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC) ; 2007; pp. 420 - 423
Linearity Guidelines for Gm-C Biquad Filter Design using Architecture Optimization with Volterra Analysis Pieter Crombez, Jan Craninckx, Piet Wambacq, and Michel Steyaert · Conference Proceeding · 2007 ECCTD ; 2007; pp. 216 - 219
An EMI resisting LIN driver in 0.35-micron high-voltage CMOS Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2007 IEEE journal of solid-state circuits ; 2007; Vol. 42; iss. 7; pp. 1574 - 1582
A Linearity and Power Efficient Design Strategy for Architecture Optimization of Gm-C Biquadratic Filters Pieter Crombez, Jan Craninckx, and Michel Steyaert · Conference Proceeding · 2007 PRIME ; 2007; pp. 229 - 232
CMOS implementation of ultra-wideband systems Wim Vereecken and Michel Steyaert · Conference Proceeding · 2007 Proceedings of SPIE ; 2007; Vol. 5837; pp. 414 - 425
a 237 mW adsl2+ CO line driver in standard 1.2V 0.35 um CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2007 Digest of technical papers - IEEE International Solid-State Circuits Conference; 2007; pp. 524 - 619
A fully integrated wireless power supply for pinless active RFID-devices in 130nm CMOS Christophe De Roover and Michel Steyaert · Conference Proceeding · 2007 IEEE Asian Solid-State Circuits Conference ; 2007; pp. 123 - 126
A fully-integrated 0,18 um CMOS DC-DC step-up converter, using a bondwire spiral inductor Mike Wens, Koen Cornelissens, and Michel Steyaert · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC); 2007; pp. 17 - 20
An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection Tom Eeckelaert, Raf Schoofs, Georges Gielen, Michiel Steyaert, and Willy Sansen · Conference Proceeding · 2007 2007 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2007; pp. 81 - 86
Genetic programming with reuse of known designs for industrially scalable, novel circuit design (Chapter 10) Trent McConaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2007 GENETIC PROGRAMMING THEORY AND PRACTICE V; 2007; pp. 159 - 184
Simultaneous multi-topology multi-objective sizing across thousands of analog circuit topologies Trent McConaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2007 DAC; 2007; pp. 944 - +
A 8 GHz 77 % efficient discrete quarter-wavelength transmission line integrated in 0.13um CMOS Frederique Gobert, Michel Steyaert, and Carlos Valderrama · Conference Proceeding · 2007 2007 IEEE International Workshop on Radio-Frequency Integration Technology; 2007; pp. 294 - 297
An integrated CMOS differential pair immune to EMI Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO) ; 2007; pp. 185 - 190
Electromagnetic susceptibility of CMOS analog integrated output structures Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO) ; 2007; pp. 253 - 258
A 130nm CMOS 6-bit full Nyquist 3GS/s DAC Pieter Palmers, Xu Wu, and Michel Steyaert · Conference Proceeding · 2007 IEEE Asian Solid-State Circuits Conference ; 2007; pp. 348 - 351
Switched RF transmitters Willem Laflere, Michel Steyaert, and Jan Craninckx · Conference Proceeding · 2007 Advances on Analog Circuit Design; 2007; pp. 145 - 162
Genetic programming with design reuse for industrially scalable, novel circuit design Trent McConnaghy, Pieter Palmers, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2007 GECKO Conference; 2007
An integrated LIN driver with high EMI immunity Jean-Michel Redouté, Michel Steyaert, and J Catrysse · Conference Proceeding · 2007 PACE ; 2007; pp. 83 - 88
A power amplifier driver using self-oscillating pulse-width modulators Willem Laflere, Michiel Steyaert, and Jan Craninckx · Conference Proceeding · 2007 ESSCIRC 2007: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE CIRCUITS CONFERENCE; 2007; pp. 380 - 383
A design-optimized continuous-time delta-sigma ADC for WLAN applications Raf Schoofs, Michel Steyaert, and Willy Sansen · Conference Proceeding · 2007 IEEE Transactions on Circuits and Systems I, Fundamental Theory and Applications ; 2007; Vol. 54; iss. 1; pp. 209 - 217
Part I: RF circuits: wide band, rront-ends, DAC's M Steyaert · Conference Proceeding · 2006 Analog Circuit Design - RF Circuits: Wide Band, Front-Ends, DAC's, Design Methodology and Verification for RF and Mixed-Signal Systems, Low Power and Low Voltage, AACD 2005; 2006; pp. 1 - 2
An I/Q based CMOS pulsed ultra wideband receiver front end for the 3.1 to 10.6 GHz band W Vereecken and MSJ Steyaert · Conference Proceeding · 2006 2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006; 2006; pp. 75 - 78
Hierarchical bottom-up analog optimization methodology validated by a delta-sigma A/D converter design for the 802.11a/b/g standard T Eeckelaert, R Schoofs, G Gielen, M Steyaert, and W Sansen · Conference Proceeding · 2006 Proceedings - Design Automation Conference; 2006; pp. 25 - 30
Part III: Ultra low power wireless M Steyaert · Conference Proceeding · 2006 Analog Circuit Design - High-Speed A-D Converters, Automotive Electronics and Ultra-Low Power Wireless, AACD 2006; 2006; pp. 253 - 254
A high-speed 850-nm optical receiver front-end in 0,18-um CMOS Carolien Hermans and Michel Steyaert · Conference Proceeding · 2006 IEEE Journal of Solid-State Circuits ; 2006; Vol. 41; iss. 7; pp. 1606 - 1614
Power-performance exploration for a low rate pulsed UWB receiver Nick Van Helleputte, Marian Verhelst, Wim Vereecken, Wim Dehaene, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2006 RFIC; 2006
Interference and distortion in pulsed Ultra Wideband receivers Wim Vereecken and Michiel SJ Steyaert · Conference Proceeding · 2006 2006 IEEE INTERNATIONAL CONFERENCE ON ULTRA-WIDEBAND, VOLS 1 AND 2; 2006; pp. 529 - +
Interference and Distortion in Pulsed Ultra Wideband Receivers Wim Vereecken and Michel Steyaert · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB) ; 2006; pp. 465 - 470
A Continuous-Time Delta-Sigma Modulator for 802.11a/b/g WLAN Implemented with a Hierarchical Bottom-up Optimization Methodology Raf Schoofs, Tom Eeckelaert, Michel Steyaert, Georges Gielen, and Willy Sansen · Conference Proceeding · 2006 International Conference on Electronic Circuits and Systems (ICECS) ; 2006; pp. 950 - 953
A High Speed, Low Voltage to High Voltage Level Shifter in Standard 1.2V 130nm CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2006 International Conference on Electronic Circuits and Systems (ICECS) ; 2006; pp. 668 - 671
A 7,5mW, 11-bit continuous-time sigma-delta A/D converter for WLAN applications Raf Schoofs, Michel Steyaert, and Willy Sansen · Conference Proceeding · 2006 ISCAS ; 2006; pp. 4419 - 4422
An EMI resisting LIN driver Jean-Michel Redouté and Michel Steyaert · Conference Proceeding · 2006 32nd European Solid-State Circuits Conference (ESSCIRC) ; 2006; pp. 580 - 583
An injection-locked upconversion mixer Wim Laflere and Michel Steyaert · Conference Proceeding · 2006 The European Microwave Association ; 2006; pp. 167 - 172
RF DACs: output impedance and distortion Jurgen Deveugele and Michel Steyaert · Conference Proceeding · 2006 ANALOG CIRCUIT DESIGN; 2006; pp. 45 - 63
A novel bootstrapped switch design, applied in a 400MHz clocked DS ADC Koen Cornelissens and Michel Steyaert · Conference Proceeding · 2006 ICECS ; 2006; pp. 1156 - 1160
Analog Interface Circuits - The limit for AmI applications Michiel Steyaert, Willem Laflere, and Wim Vereecken · Conference Proceeding · 2006 AMIWARE: HARDWARE TECHNOLOGY DRIVERS OF AMBIENT INTELLIGENCE; 2006; Vol. 5; pp. 203 - +
Continuous-time Sigma-Delta A/D Converters for Feedforward and Feedback Compensation Raf Schoofs, Willy Sansen, and Michel Steyaert · Conference Proceeding · 2006 ISCCSP; 2006
A gigabit optical receiver with monolithically integrated photodiode in 0,18 um CMOS Carolien Hermans, Filip Tavernier, and Michel Steyaert · Conference Proceeding · 2006 32nd European Solid-State Circuits Conference (ESSCIRC) ; 2006; pp. 476 - 479