Publications

Search the MICAS publications database.
Search or filter the publications by research domain, publication date or type.
0 resultaten
Circuit Design for Bias Compatibility in Novel FinFET based floating RAM Pavel Poliakov, A Anchlia, M Garia Bardon, Bram Rooseleer, B De Wachter, N Collaert, K van der Zanden, Wim Dehaene, D Verkest, and Miranda Corbalan · Journal Article · 2010 IEEE Transactions on Circuits and Systems II, Express Briefs ; 2010; Vol. 57; iss. 3; pp. 183 - 187
All-digital differential VCO-based A/D conversion Jorg Daniels, Wim Dehaene, and Michel Steyaert · Conference Proceeding · 2010 Proceedings of 2010 IEEE International Symposium on Circuits and Sytems (ISCAS) ; 2010; pp. 1085 - 1088
A 0.02mm2 65nm CMOS 30MHz BW all-digital differential VCO-based ADC with 64dB SNDR Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2010 2010 IEEE Symposium on VLSI Circuits (VLSIC), Digest of Technical Papers ; 2010; pp. 155 - 156
An RDL-configurable 3D memory tier to replace on-chip SRAM Marco Facchini, Pol Marchal, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2010 Proceedings of the Design, Automation and Test in Europe Conference - DATE ; 2010; pp. 291 - 294
Test structures for characterization of through silicon vias Michele Stucchi, Dan Perry, Guruprasad Katti, and Wim Dehaene · Conference Proceeding · 2010 Proceedings of the 23rd IEEE International Conference on Microelectronic Test Structures - ICMTS ; 2010; pp. 130 - 134
Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack-challenges and solutions Geert Van der Plas, Steven Thijs, Dimitri Linten, Katti Guruprasad, Paresh Limaye, Abdelkarim Mercha, Michele Stucchi, Herman Oprins, Bart Vandevelde, Nikolas Minas, Miro Cupac, Morin Dehan, Marc Nelis, Rahul Agarwal, Wim Dehaene, Youssef Travaly, Eric Beyne, and Paul Marchal · Conference Proceeding · 2010 IEEE Custom Integrated Circuits Conference; 2010; pp.
Temperature dependent electrical characteristics of through-si-via (TSV) interconnections Guruprasad Katti, Abdelkarim Mercha, Michele Stucchi, Zsolt Tokei, Dimitrios Velenis, Jan Van Olmen, Cedric Huyghebaert, Anne Jourdain, Michal Rakowski, Ingrid Debusschere, Philippe Soussan, Herman Oprins, Wim Dehaene, Kristin De Meyer, Youssef Travaly, Eric Beyne, Serge Biesemans, and Bart Swinnen · Conference Proceeding · 2010 Proceedings of the IEEE International Interconnect Technology Conference - IITC; 2010
Organic transistor technology options for device performance versus technology options for increased circuit reliability and yield on foil Jan Genoe, Kris Myny, Soeren Steudel, Steve Smout, Peter Vicca, Bas van der Putten, Ashutosh Tripathi, Nick van Aerle, Gerwin Gelinck, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 68th Annual Device Research Conference ; 2010; pp. 171 - 174
Organic RFID tags Kris Myny, Soeren Steudel, Peter Vicca, Monique J Beenhakkers, Nick AJM van Aerle, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Book Chapter · 2010 Radio Frequency Identification Fundamentals and Applications Design Methods and Solutions ; 2010; pp. 311 - 324
Robust digital design in organic electronics by dual-gate technology Kris Myny, Monique J Beenhakkers, Nick AJM van Aerle, Gerwin H Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the IEEE International Solid-State Circuits Conference - ISSCC ; 2010; Vol. 53; pp. 140 - 141
Increasing complexity of organic transistor circuits on foil: 128 bit organic RFID tags and flexible display backplanes Jan Genoe, Kris Myny, Soeren Steudel, Steve Smout, Peter Vicca, Bas van der Putten, AK Tripathi, Nick AJM Van Aerle, Christiane Grillberger, Olaf R Hild, Gerwin H Gelinck, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 6th Global Plastic Electronics Conference; 2010
An On-Chip Power supply regulator to Reduce the Switching Noise Junfeng Zhou and Wim Dehaene · Journal Article · 2010 IEEE Transactions on Electromagnetic Compatibility; 2010; Vol. 53; iss. 1; pp. 157 - 168
Towards EPC compatible plastic RFID tags Kris Myny, Soeren Steudel, Peter Vicca, Steve Smout, MJ Beenhakkers, NAJM Van Aerle, F Furthner, B Van der Putten, AK Tripathi, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2010 Proceedings of the 218th ECS Meeting : E13 - Thin Film Transistors 10 (TFT 10) ; 2010; Vol. 33; iss. 5; pp. 1830 - ...
A Reconfigurable, 130 nm CMOS 108 pJ/pulse, Fully Integrated IR-UWB Receiver for Communication and Precise Ranging Nick Van Helleputte, Marian Verhelst, Wim Dehaene, and Georges Gielen · Journal Article · 2010 IEEE Journal of Solid-State Circuits; 2010; Vol. 45; iss. 1; pp. 69 - 83
Electrical modeling and characterization of through silicon via for three-dimensional ICs Guruprasad Katti, Michele Stucchi, Kristin De Meyer, and Wim Dehaene · Journal Article · 2010 IEEE Transactions on Electron Devices ; 2010; Vol. 57; iss. 1; pp. 256 - 262
Plastic circuits and tags for 13.56 MHz radio-frequency communication Kris Myny, Soeren Steudel, Peter Vicca, Monique Beenhakkers, Nick van Aerle, Gerwin Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Journal Article · 2009 Solid-State Electronics; 2009; Vol. 53; iss. 12; pp. 1220 - 1226
A reconfigurable, 0.13μm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging M Verhelst, N Van Helleputte, G Gielen, and W Dehaene · Conference Proceeding · 2009 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2009
A 0.4-1.4V 24MHz fully integrated 33μW, 104ppm/V supply-independent oscillator for RFIDs Valentijn De Smedt, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2009 ESSCIRC - European Solid-State Circuit Conference, 35th Proceedings of ; 2009; pp. 397 - 400
Circuit Design for Bias Compatibility Investigation of Bulk FinFET Based Floating Body RAM Ankur Anchlia, Marie Garcia Bardon, Pavel Poliakov, Bram Rooseleer, Bart De Wachter, Nadine Collaert, K van der Zanden, Miguel Miranda Corbalan, Wim Dehaene, and Diederik Verkest · Conference Proceeding · 2009 Proceedings of the 2009 IEEE International Workshop on Memory Technology, Design and Testing ; 2009; pp. 7 - 12
Performance Analysis of a Flexible Subsampling Receiver for Pulsed UWB Signals Yves Vanderperren, Geert Leus, and Wim Dehaene · Journal Article · 2009 IEEE transactions on wireless communications ; 2009; Vol. 8; iss. 8; pp. 4134 - 4142
ActivaSC: A highly efficient and non-intrusive extension for activity-based analysis of SystemC models Cedric Walravens, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2009 Design Automation Conference, 2009 ; 2009; pp. 172 - 177
A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers Stefan Cosemans, Wim Dehaene, and francky Catthoor · Journal Article · 2009 IEEE Journal of Solid-State Circuits ; 2009; Vol. 44; iss. 7; pp. 2065 - 2077
A 3-Tier UWB-Based Indoor Localization System for Ultra-Low-Power Sensor Networks Zheng Li, Wim Dehaene, and Georges Gielen · Journal Article · 2009 IEEE Transactions on Wireless Communications ; 2009; Vol. 8; iss. 6; pp. 2813 - 2818
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications Marco Facchini, T Carlson, Anselme Vignon, M Palkovic, Francky Catthoor, Wim Dehaene, L Benini, and Paul Marchal · Conference Proceeding · 2009 DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2009; pp. 923 - +
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context Anselme Vignon, Stefan Cosemans, Wim Dehaene, Paul Marchal, and Marco Facchini · Conference Proceeding · 2009 DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3; 2009; pp. 929 - +
Energy Scalable Radio Design for Pulsed UWB Communication and Ranging Introduction and Motivation Marian Verhelst and Wim Dehaene · Book Chapter · 2009 ENERGY SCALABLE RADIO DESIGN: FOR PULSED UWB COMMUNICATION AND RANGING; 2009; pp. 1 - +
RFID, WHere are they ? Wim Dehaene, Georges Gielen, Michel Steyaert, Hans Danneels, Valentijn De Smedt, Christophe De Roover, Zheng Li, Marian Verhelst, Nick Van Helleputte, Soheil Radiom, Cedric Walravens, and L Pleysier · Conference Proceeding · 2009 Proceedings of ESSCIRC 2009; 2009; pp. 37 - 44
A reconfigurable, 0.13µm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging Marian Verhelst, Nick Van Helleputte, Georges Gielen, and Wim Dehaene · Other · 2009 ISSCC; 2009; pp. 250 - 251
A 128b organic RFID transponder chip, including Manchester encoding and ALOHA anti-collision protocol, operating with a data rate of 1529b/s Kris Myny, MJ Beenhakkers, NAJM van Aerle, GH Gelinck, Jan Genoe, Wim Dehaene, and Paul Heremans · Conference Proceeding · 2009 Proceedings of the IEEE International Solid-State Circuits Conference - ISSCC; 2009; pp. 206 - 207
3D IO interface design between memory and logic dies on TSV interconnects Marco Facchini, Pol Marchal, and Wim Dehaene · Conference Proceeding · 2009 Proceedings of the HPCA-15 / Workshop on 3D Integration and Interconnection-Centric Architectures; 2009
3D Technology Assessment:Path-finding the Technology/Design sweet-spot P Marchal, B Bougard, K Guruprasad, M Stucchi, Wim Dehaene, A Papanikolaou, D Verkest, B Swinnen, and E Beyne · Journal Article · 2009 Proceedings of the IEEE ; 2009; Vol. 97; iss. 1; pp. 96 - 107
3D Stacked IC demonstrator using hybrid collective Die-to-Wafer bonding with copper through silicon vias (TSV) J Van Olmen, J Coenen, Wim Dehaene, Kristin De Meyer, C Huyghebaert, A Jourdain, Guruprasad Katti, A Mercha, M Rakowski, M Stucchi, Y Travaly, E Beyne, and B Swinnen · Conference Proceeding · 2009 2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION; 2009; pp. 1 - 5
Design and synthesis of pareto buffers offering large range runtime energy/delay tradeoffs via combined buffer size and supply voltage tuning H Wang, M Miranda, Wim Dehaene, and Francky Catthoor · Journal Article · 2009 IEEE transactions on very large scale integration (VLSI) systems ; 2009; Vol. 17; iss. 1; pp. 117 - 127
Time to Digital Conversion: An Alternative View on Synchronization J Daniels, W Dehaene, and M Steyaert · Conference Proceeding · 2009 ANALOG CIRCUIT DESIGN: HIGH-SPEED CLOCK AND DATA RECOVERY, HIGH-PERFORMANCE AMPLIFIERS, POWER MANAGEMENT; 2009; pp. 77 - +
Energy Scalable Radio Design: for Pulsed UWB Communication and Ranging Marian Verhelst and Wim Dehaene · Book · 2009
UML for Electronic Systems Design: A Comprehensive Overview Yves Vanderperren, Wolfgang Mueller, and Wim Dehaene · Journal Article · 2008 Design Automation for Embedded Systems ; 2008; Vol. 12; iss. 4; pp. 261 - 292
A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous Delta-Sigma ADC applications Jorg Daniels, Wim Dehaene, Michiel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE Asian Solid-State Circuits Conference, 2008; 2008; pp. 365 - 368
Analysis of the QAC IR-UWB receiver for low energy, low data-rate communication Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE Transactions on Circuits and Systems 1, Regular Papers ; 2008; Vol. 55; iss. 8; pp. 2423 - 2432
A Reconfigurable Pulsed UWB Receiver Sampling Below Nyquist Rate Yves Vanderperren, Geert Leus, and Wim Dehaene · Conference Proceeding · 2008 Proc. IEEE International Conf. on Ultra-Wideband; 2008; Vol. 2; pp. 145 - +
Synthesis of runtime switchable pareto buffers offering full range fine grained energy/delay trade-offs Hua Wang, Francky Catthoor, Miguel Miranda Corbalan, and Wim Dehaene · Journal Article · 2008 Journal of Vlsi Signal Processing ; 2008; Vol. 52; iss. 2; pp. 193 - 210
A flexible, ultra-low-energy 35 pJ/pulse digital back-end for a QAC IR-UWB receiver Marian Verhelst and Wim Dehaene · Journal Article · 2008 IEEE journal of solid-state circuits ; 2008; Vol. 43; iss. 7; pp. 1677 - 1687
A Subsampling Pulsed UWB Demodulator Based on a Flexible Complex SVD Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2008 Proc. IEEE International Conference Application-specific Systems, Architectures and Processors; 2008; pp. 114 - 119
A/D Conversion Using an Asynchronous Delta-Sigma Modulator and a Time-to-Digital Converter Jorg Daniels, Wim Dehaene, Michel Steyaert, and Andreas Wiesbauer · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 1648 - 1651
A Low Power, Reconfigurable IR-UWB System Marian Verhelst, J Ryckaert, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2008 Communications, 2008. ICC '08. IEEE International Conference on ; 2008; pp. 3770 - 3774
A high speed, low voltage to high voltage level shifter in standard 1.2 V 0.13 mu m CMOS Bert Serneels, Michiel Steyaert, and Wim Dehaene · Journal Article · 2008 Analog integrated circuits and signal processing ; 2008; Vol. 55; iss. 1; pp. 85 - 91
Measurements of an EMC test chip for lower EME in CMOS digital ICs Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 EMC Europe, International Symposia on EMC; 2008; pp. 543 - 548
Litho variations and their impact on the electrical yield of a 32nm node 6TSRAM cell design for manufacturability through design-proces integration II Staf Verhaegen, Stefan Cosemans, Dusa Mircea, Pol Marchal, Axel Nackaerts, Geert Vandenberghe, Wim Dehaene, Vivek Singh, and Michael Rieger · Conference Proceeding · 2008 SPIE 2008 ; 2008; Vol. 6925; pp. 6925 - ...
Litho variations and their impact on the electrical yield of a 32nm node 6T SRAM cell Staf Verhaegen, Stefan Cosemans, Mircea Dusa, Pol Marchal, Axel Nackaerts, Geert Vandenberghe, and Wim Dehaene · Conference Proceeding · 2008 DESIGN FOR MANUFACTURABILITY THROUGH DESIGN-PROCESS INTEGRATION II; 2008; Vol. 6925; pp.
Energy efficiency of the IEEE 802.15.4 standard in dense wireless microsensor networks: modeling and improvement perspectives Bruno Bougard, Francky Catthoor, Denis Daly, Anantha Chandrakasan, and Wim Dehaene · Book Chapter · 2008 Design, Automation, and Test in Europe: The Most Influential Papers of 10 Years Date; 2008; pp. 221 - 234
Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design Antonis Papanikolaou, Hua Wang, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2008 VLSI-SOC: RESEARCH TRENDS IN VLSI AND SYSTEMS ON CHIP; 2008; Vol. 249; pp. 119 - 141
High voltage xDSL line drivers in nanometer technologies Bert Serneels, Michiel Steyaert, and Wim Dehaene · Conference Proceeding · 2008 ANALOG CIRCUIT DESIGN; 2008; pp. 179 - 203
Evaluation of power supply noise in CMOS and low noise logic cells Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 2008 ASIA-PACIFIC SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY AND 19TH INTERNATIONAL ZURICH SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY, VOLS 1 AND 2; 2008; pp. 12 - 15
valuation of Power Supply Noise in CMOS and Low Noise Logic Cells Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2008 Asia-Pacific Symposium on Electromagnetic Compatibility and 19th International Zurich Symposium on Electromagnetic Compatibility, 2008 ; 2008; pp. 12 - 15
A dual port dual width 90nm SRAM with guaranteed data retention at minimal standby supply voltage Peter Geens and Wim Dehaene · Conference Proceeding · 2008 Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European ; 2008; pp. 290 - 293
3D stacked IC demonstration using a through silicon via first approach Jan Van Olmen, Abdelkarim Mercha, Guruprasad Katti, Cedric Huyghebaert, Joke Van Aelst, Emma Seppala, Chao Zhao, Silvia Armini, Jan Vaes, Ricardo Cotrin Teixeira, Marc Van Cauwenberghe, Patrick Verdonck, Koen Verhemeldonck, Anne Jourdain, Wouter Ruythooren, Muriel de Potter de ten Broeck, Ann Opdebeeck, Thomas Chiarella, Bertrand Parvais, Ingrid Debusschere, Thomas Y Hoffmann, Bart De Wachter, Wim Dehaene, Michele Stucchi, Michal Rakowski, Philippe Soussan, Rudi Cartuyvels, Eric Beyne, Serge Biesemans, and Bart Swinnen · Conference Proceeding · 2008 Technical Digest International Electron Devices Meeting - IEDM ; 2008; pp. 603 - 606
A 3.6pJ/access 480MHz, 128Kbit on-chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability Stefan Cosemans, Wim Dehaene, and Francky Catthoor · Conference Proceeding · 2008 Solid-State Circuits Conference, 2008. ESSCIRC 2008. 34th European ; 2008; pp. 278 - 281
A low-power mixing DAC IR-UWB receiver Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, B Boury, Wim Dehaene, Michel Steyaert, and Georges Gielen · Conference Proceeding · 2008 IEEE International Symposium on Circuits and Systems, 2008 ; 2008; pp. 2697 - 2700
System design of an IEEE 802.15.4a-compliant, merged smallband/ultra- wideband radio receiver M Verfielst, Y Vanderperren, and W Dehaene · Conference Proceeding · 2007 ICUWB2006: 2006 IEEE International Conference on Ultra-Wideband - Proceedings; 2007; pp. 178 - 184
Impact of Random Soft Oxide Breakdown on SRAM Energy/Delay Drift Wang Hua, M Miranda, Franky Catthoor, and Wim Dehaene · Journal Article · 2007 IEEE Transactions on Device and Materials Reliability ; 2007; Vol. 7; iss. 4; pp. 581 - 591
a CMOS ultra-wideband receiver for low data-rate communication J Ryckaert, Marian Verhelst, M Badaroglu, S D' Amico, V De Heyn, C Desset, P Nuzzo, B Van Poucke, P Wambacq, A Basschiroto, Wim Dehaene, and G Vanderplas · Journal Article · 2007 IEEE Journal of Solid-State Circuits ; 2007; Vol. 42; iss. 11; pp. 2515 - 2527
A flexible, ultra-low power 35pJ/pulse digital back-end for a QAC UWB receiver Marian Verhelst and Wim Dehaene · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC) ; 2007; pp. 236 - 239
A low-power embedded SRAM for wireless applications Stefan Cosemans, Wim Dehaene, and Francky Catthoor · Journal Article · 2007 IEEE Journal Of Solid-State Circuits; 2007; Vol. 42; iss. 7; pp. 1607 - 1617
High voltage line drivers for xDSL in nanometer CMOS Bert Serneels · Dissertation · 2007
A variability tolerant embedded SRAM offering runtime selectable energy/delay figures Hua Wang, Miguel Miranda Corbalan, Peter Geens, Wim Dehaene, and Francky Catthoor · Conference Proceeding · 2007 2nd International Conference on Memory Techology and Design ; 2007; pp. 173 - 176
A designer’s perspective on future memory architectures for software defined radios Pol Marchal, Bruno Bougard, Antonis Papanikolaou, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2007
Technology-aware design of SRAM memory circuits Evelyn Grossar · Dissertation · 2007
Architectures and circuits for software defined radios: scaling and scalability for low cost and low energy Liesbet Van der Perre, Bruno Bougard, Jan Craninckx, Wim Dehaene, Lieven Hollevoet, Murali Jayapala, Pol Marchal, Miguel Miranda Corbalan, Praveen Raghavan, Thomas Schuster, Piet Wambacq, Francky Catthoor, and Peter Vanbekbergen · Conference Proceeding · 2007 Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 2007; pp. 568 - 569
a 237 mW adsl2+ CO line driver in standard 1.2V 0.35 um CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2007 Digest of technical papers - IEEE International Solid-State Circuits Conference; 2007; pp. 524 - 619
Synchronization for Subsampling Digital UWB Receiver: a Holistic Approach Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2007 IEEE International Conference on Ultra-Wideband (ICUWB); 2007; pp. 828 - 833
Embedded SRAM design in deep deep submicron technologies Wim Dehaene, Stefan Cosemans, Anselme Vignon, Francky Catthoor, and Peter Geens · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC); 2007; pp. 384 - 391
System design for ultra-low-power UWB-based indoor localization Zheng Li, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2007 IEEE international conference on Ultra-Wideband; 2007; pp. 580 - 585
Design of an on-chip EMI-suppressing regulator for automotive electronics applications Wim Dehaene and Junfeng Zhou · Conference Proceeding · 2007 International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC COMPO); 2007
A Noise-Margin Monitor for SRAMs Peter Geens and Wim Dehaene · Conference Proceeding · 2007 International Conference on Memory Technology and Design; 2007
A fully integrated low EMI noise power supply technique for CMOS digital ICs in automotive applications Junfeng Zhou and Wim Dehaene · Conference Proceeding · 2007 33rd European Solid-State Circuits Conference (ESSCIRC); 2007; pp. 264 - 267
A 3-tier UWB-based indoor localization scheme for ultra-low-power sensor nodes Zheng Li, Wim Dehaene, and Georges Gielen · Conference Proceeding · 2007 IEEE International Conference on Signal Processing and Communications; 2007; pp. 995 - 998
UML for ESL design - Basic principles, tools, and applications W Mueller, A Rosti, S Bocchio, E Riccobene, P Scandurra, W Dehaene, and Y Vanderperren · Conference Proceeding · 2006 IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; 2006; pp. 73 - 80
Read stability and write-ability analysis of SRAM cells for nanometer technologies Evelyn Grossar, Michele Stucchi, Karen Maex, and Wim Dehaene · Journal Article · 2006 IEEE Journal of Solid-State Circuits; 2006; Vol. 41; iss. 11; pp. 2577 - 2588
EsatExpress: samen leren ontwerpen Wim Dehaene, Geert Deconinck, Johan Driesen, Georges Gielen, Bart Nauwelaers, Marc Moonen, Chris Van Hoof, and Patrick Wambacq · Conference Proceeding · 2006 Proceedings of Associatie K.U.Leuven Dag van de Docent ; 2006; pp. 1 - ...
Smartmimo: energy-aware adaptive mimo-ofdm radio link control for wireless local area networks Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Journal Article · 2006 Eurasip Journal On Wireless Communications And Networking; 2006; Vol. 2007; pp.
System design of an IEEE 802.16.4a-compilant, merged smalband/ultra-wideband.radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB) ; 2006; pp. 179 - 184
System design of an IEEE 802.15.4a-compliant, merged smallband/ultra-wideband radio receiver Marian Verhelst, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 2006 IEEE International Conference on Ultra-Wideband, Vols 1 and 2 ; 2006; pp. 243 - 248
Power-performance exploration for a low rate pulsed UWB receiver Nick Van Helleputte, Marian Verhelst, Wim Vereecken, Wim Dehaene, Georges Gielen, and Michel Steyaert · Conference Proceeding · 2006 RFIC; 2006
Complex analog correlating pulsed UWB-receiver in realistic 0-1GHz channels Marian Verhelst and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Wireless Broadband and Ultra-Wideband Communications; 2006; pp. 27 - 32
Statistically aware SRAM memory array design Evelyn Grossar, Michele Stucchi, Karen Maex, and Wim Dehaene · Conference Proceeding · 2006 ISQED 2006: PROCEEDINGS OF THE 7TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN; 2006; pp. 25 - +
UML and Model-Driven Development for SoC W Mueller, Yves Vanderperren, and Wim Dehaene · Conference Proceeding · 2006 Design Automation and Test in Europe (DATE); 2006; pp. 1 - 1
A Flexible Low Power Subsampling UWB Receiver Based on Line Spectrum Estimation Method Yves Vanderperren, Wim Dehaene, and G Leus · Conference Proceeding · 2006 IEEE International Conference on Communications (ICC); 2006; Vol. 10; pp. 4694 - 4699
On the combined impact of soft mediom gate oxide breakdown and process variablitiy on the parametric figures of SRAM components W Hua, M Miranda, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 International Workshop on Memory Technology, Design and Testing; 2006
On the combined impact of soft and medium gate oxide breakdown and device dopant fluctuation on the parametric figures of SRAM components Hua Wang, Miguel Miranda Corbalan, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 MTDT'06: 2006 IEEE INTERNATIONAL WORKSHOP ON MEMORY TECHNOLOGY, DESIGN, AND TESTING, PROCEEDINGS; 2006; Vol. 2006; pp. 71 - 76
SmartMIMO: Energy-aware adaptive MIMO-OFDM radio link control for wireless local area networks Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, and Wim Dehaene · Conference Proceeding · 2006 2006 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS DESIGN AND IMPLEMENTATION; 2006; pp. 399 - 404
A High Speed, Low Voltage to High Voltage Level Shifter in Standard 1.2V 130nm CMOS Bert Serneels, Michel Steyaert, and Wim Dehaene · Conference Proceeding · 2006 International Conference on Electronic Circuits and Systems (ICECS) ; 2006; pp. 668 - 671
An approach for specifying the ADC and AGC requirements for UWB digital receivers Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2006 IEE Seminar on ultra wideband systems, technologies and applications; 2006; Vol. 2006; iss. 11371; pp. 196 - 200
Orthogonal multicode channelization applied to subsampling digital UWB receiver Yves Vanderperren, G Leus, and Wim Dehaene · Conference Proceeding · 2006 IEEE International Conference on Ultra-Wideband (ICUWB); 2006; pp. 143 - +
From UML/SysML to Matlab/Simulink: current state and future perspectives Yves Vanderperren and Wim Dehaene · Conference Proceeding · 2006 Design Automation and Test in Europe (DATE); 2006; Vol. 1; pp. 91 - 91
UML for ESL Design - Basic Principles, Tools, and Applications W Mueller, A Rosti, S Bocchio, Wim Dehaene, and Yves Vanderperren · Conference Proceeding · 2006 International Conference on Computer Aided Design (ICCAD); 2006; pp. 241 - +
Semiconductor process variability: consequences and solutions Wim Dehaene · Conference Proceeding · 2006 ProRisc; 2006